https://wiki.nanofab.ucsb.edu/wiki/api.php?action=feedcontributions&user=Jcrode&feedformat=atomUCSB Nanofab Wiki - User contributions [en]2024-03-29T09:14:35ZUser contributionsMediaWiki 1.35.13https://wiki.nanofab.ucsb.edu/w/index.php?title=Template:Announcements&diff=161203Template:Announcements2023-07-12T18:34:05Z<p>Jcrode: /* SEM announcement update */</p>
<hr />
<div><startfeed /><br />
=====SEM announcement update=====<br />
Once SEM 1 is up we’ll wait for at least a week before we decommission SEM 2. <br />
//[[User:Mehalana v|Vraj Mehalana]] 11:16, 12 July 2023 (PDT)<br />
<br />
=====Plasma Activation (EVG 810)=====<br />
We have received almost all the replacement parts for the tool except the new chuck. The original delivery date of mid June has now been pushed out to early September and they have stated that this is the best that their supplier can do. I will not be installing any new parts on the tool until we have everything.<br />
Runs will still require Staff supervision until then.<br />
//[[User:Mehalana v|Vraj Mehalana]] 18:01, 2 May 2023 (PDT)<br />
<br />
<!--<br />
SEE THE BOTTOM OF THIS PAGE FOR DETAILED INSTRUCTIONS ON ADDING NEWS ITEMS.<br />
In order for your post to show up correctly, you need to:<br />
>> Use FIVE equal-signs for the Title's heading level. eg.: ===== my post =====<br />
>> Use two slashes // and FOUR tilde's to insert your signature at the end of your post: //~~~~<br />
--><br />
<!----------------------------------------------><br />
<!------------- Equipment Status ----------------><br />
<br />
<br />
<!---------- end of Equipment Status ------------><br />
<!---------DO NOT EDIT BELOW THIS LINE-----------><br />
<endfeed /><br />
<noinclude>[[Category:Templates]]</noinclude><br />
<!--------------------------------------------<br />
====== HOW TO ADD ITEMS ======<br />
* You can copy/paste the example below for a new news item.<br />
* Use level 5 heading for each item (5 equal signs surrounding the title) - they will show up as separate RSS items this way. (This looks best on the Wiki homepage)<br />
* You must add a user signature at the end of each post via four tildes: [[User:Silva|Silva]] 13:26, 4 February 2023 (PST). Required for the RSS plugin to determine the timestamp, or else post goes to the end of the RSS feed. <br />
* Use double-slash: // at start of new lines - makes display on the Samsung Display look a lot better, since it strips newlines.<br />
* Optional: After saving the page, delete the name after the two dashes "--" and delete the "[[(talk)...]]" link. <br />
* Please use "There are no announcements at this time." if the announcements are empty.<br />
<br />
* URL to the RSS feed via FeedBurner (for Samsung display): http://wiki.nanotech.ucsb.edu/w/index.php?title=Template:Announcements&action=feed&feed=rss<br />
* URL to the RSS feed directly from Wiki: https://wiki.nanotech.ucsb.edu/w/index.php?title=Template:Announcements&action=feed&feed=rss<br />
<br />
<br />
******** EXAMPLE OF A NEW POST ********<br />
<br />
===== NanoFab making LN2 icecream =====<br />
Tomorrow the NanoFab will be serving liquid nitrogen ice cream.<br />
// [[User:Silva|Silva]] 13:26, 4 February 2023 (PST)<br />
<br />
************* (end of example) **************<br />
The [[User:Silva|Silva]] 13:26, 4 February 2023 (PST) will be replaced with your username & timestamp after you submit the post.<br />
----------------------------------------------></div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Template:Announcements&diff=159307Template:Announcements2021-10-16T00:34:26Z<p>Jcrode: malformed rss XML - fixed hierachy</p>
<hr />
<div><startfeed /><br />
<!--feedBurner name="UCSBNanofab-Announcements" /--><br />
<!-- Description of the RSS feed --><br />
'''''Equipment Status'''''<br />
----<br />
<!--<br />
SEE THE BOTTOM OF THIS PAGE FOR DETAILED INSTRUCTIONS ON ADDING NEWS ITEMS.<br />
<br />
In order for your post to show up correctly, you need to:<br />
>> Use FIVE equal-signs for the Title's heading level. eg.: ===== my post =====<br />
>> Use two slashes // and FOUR tilde's to insert your signature at the end of your post: //~~~~<br />
--><br />
<!----------------------------------------------><br />
<!------------- Equipment Status ----------------><br />
<br />
===== GCA Autostep and 6300 October Maintenance =====<br />
The vendor will be here October 25 until October 28 to service both steppers. The Autostep will be Monday thru Wednesday from 8am until 6pm. The 6300 will be serviced on Thursday from 8am until 6pm.<br />
[[User:Silva|Silva]] 08:39, 12 October 2021 (PDT)<br />
<br />
===== Oxford ICP Installed =====<br />
A new ICP etcher for III-V etches (GaAs, InP, GaN) has been installed: [[Oxford_ICP_Etcher_(PlasmaPro_100_Cobra)]]<br />
<br />
Contact [[Tony_Bosch | the supervisor]] for training.<br />
// [[User:John d|John d]] 08:00, 30 September 2021 (PDT)<br />
<br />
===== New COVID Protocols =====<br />
All facility users and visitors are now required to have masks on while indoors in shared spaces. This includes shared offices, hallways/corridors, and all shared laboratory spaces. Masks will be worn in all laboratories at all times and in all shared office spaces at all times.<br />
<br />
This direction applies to all vaccinated and non-vaccinated persons.<br />
<br />
Outdoor policies remain unchanged<br />
<br />
Surgical style masks or KN95 masks are appropriate for cleanroom use. Cloth masks may be used in non-cleanroom spaces.<br />
<br />
See the full policies at [[COVID-19_User_Policies]]<br />
<br />
// [[User:Hopkins a|Hopkins a]] 08:14, 6 August 2021 (PDT)<br />
<br />
===== Weekly Testing strongly urged for UCSB Students/Staff =====<br />
Free weekly COVID testing is available to UCSB Staff, Faculty and Students. UCSB employees are strongly urged to sign up for weekly testing, which takes only minutes, is administered on-campus and is free of charge.<br />
<br />
Weekly recurring appointments can be made at the Student Health website:<br />
* Log on to the [https://studenthealthoc.sa.ucsb.edu/login_dualauthentication.aspx '''UCSB Testing Patient Portal'''] using your UCSB NetID and password. <br />
<br />
The Chancellor's [https://chancellor.ucsb.edu/memos/2020-10-15-recommended-covid-19-asymptomatic-campus-testing-program-faculty-staff-and memo to campus with details can be found here].<br />
// [[User:John d|John d]] 06:20, 16 November 2020 (PST) <br />
<br />
===== NanoFab COVID Protocols =====<br />
<br />
''The most recent COVID Protocols can be found at '''[[COVID-19_User_Policies]]'''.''<br />
<br />
// [[User:John d|John d]] 15:00, 19 July 2020 (PDT)<br />
<br />
<br />
<!---------- end of Equipment Status ------------><br />
<!----------------------------------------------><br />
<endfeed /><br />
<noinclude>[[Category:Templates]]</noinclude><br />
<!--------------------------------------------<br />
<br />
<br />
<br />
<br />
====== HOW TO ADD NEWS ITEMS ======<br />
<br />
* You can copy/paste the example below for a new news item.<br />
* Use level 5 heading for each item (5 equal signs surrounding the title) - they will show up as separate RSS items this way. (This looks best on the Wiki homepage)<br />
* You must add a user signature at the end of each post via four tildes: ~~~~. Required for the RSS plugin to determine the timestamp, or else post goes to the end of the RSS feed. <br />
* Use double-slash: // at start of new lines - makes display on the Samsung Display look a lot better, since it strips newlines.<br />
* Optional: After saving the page, delete the name after the two dashes "--" and delete the "[[(talk)...]]" link. <br />
* Please use "There are no announcements at this time." if the announcements are empty.<br />
<br />
* URL to the RSS feed via FeedBurner (for Samsung display): http://feeds.feedburner.com/UCSBNanofab-Announcements?format=xml<br />
* URL to the RSS feed directly from Wiki: https://www.nanotech.ucsb.edu/wiki/index.php?title=Template:Announcements&action=feed&feed=rss<br />
<br />
<br />
******** EXAMPLE OF A NEW POST ********<br />
<br />
===== NanoFab making LN2 icecream =====<br />
Tomorrow the NanoFab will be serving liquid nitrogen ice cream.<br />
// ~~~~<br />
<br />
************* (end of example) **************<br />
The ~~~~ will be replaced with your username & timestamp after you submit the post.<br />
----------------------------------------------></div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Editing_Tutorials&diff=159200Editing Tutorials2021-09-04T19:52:54Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>NanoFab users are highly encouraged to contribute their own work, characterizations and corrections to our Wiki! Read on to learn how to do this.<br />
<br />
__TOC__<br />
<br />
<br />
==Basic Editing==<br />
===Editing an Existing Page===<br />
The First step is to log in: <br />
<br />
[[File:Wiki- Log In - Screen Shot 2017-11-30 at 4.14.49 PM.png|border]]<br />
<br />
All active NanoFab users can make a login - see [[Frequently Asked Questions#How do I get a login to the wiki.3F|this FAQ]] for how to do that. <br />
<br />
Then navigate to the page you want to edit. <br />
<br />
Once you are at the page, click on "'''''Edit'''''" to launch the [https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide Visual Editor]. "''Edit Source''", allows you to edit the [https://en.wikipedia.org/wiki/Help:Cheatsheet wikimarkup] source code directly, which is much less intuitive and '''not recommended'''. <br />
[[image:Basic1.png|thumb|none|600px|Click Edit.]]<br />
After clicking ''[Edit]'', you will be able to type directly into the page to make your changes. <br />
<br />
There are a couple of key things to note on this page. <br />
<br />
Press the '''[< Back]''' button on your web browser to cancel/discard any changes you made.<br><br />
'''Save page''': Clicking this will save any and all changes you have made.<br><br />
'''Show preview''': This will show you a preview of what the page will look like after you save it.<br><br />
'''Show changes''': This will give you a before and after comparison of the page.<br><br />
'''Cancel''': This will cancel any changes.<br><br />
<br />
*The Visual Editor opened by hitting ''[Edit]'' is pretty self-explanatory for most purposes. See the [https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide Visual Editor User Guide] for more info on using the editor. The "[https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide#Editing_tables Editing Tables]" section is useful for our etch/deposition tables.<br />
*Please use '''Show Preview''' to make sure your edits look as expected.<br />
*Be sure to enter a brief ''description of what you changed'', so Editors can approve you changes quickly.<br />
<br />
The two editing methods:<br />
<br />
Using the Visual Editor on a text page, via the '''[Edit]''' tab (recommended):<br />
[[File:VisualEditor In Action v1.gif|alt=Visual Editor in action, animated|none|thumb|650x650px]]<br />
<br />
(<u>Not recommended</u>) The '''''[Edit Source]''''' mode, showing wikimarkup source code for the page. ''[Rich Editor]'' provides some code shortcuts:<br />
[[image:Basic2.png|thumb|none|650x650px]]<br />
<br />
We do have some old antiquated "coded" parts of the site, which are holdovers from before we installed the modern "Visual Editor". Due to existing page linking/URLs, many of these can't be changed (such as code used for superscripts and subscripts in page names).<br />
<br />
===Making a New Page===<br />
Only Staff & Admins can make new pages. If you want to do this but don’t have permission, please let the [[Wiki Admin|wiki admin]] know and we’ll be happy to help you complete your edits.<br />
<br />
The preferred way to make a new page is to first create a Link to a page that doesn't exist (within an existing page), and then follow that Link to create the new page. Do this as follows:<br />
<br />
#On the existing page, [Edit] the page, and type the text you would like to become a hyperlink.<br />
#Select the desired text, and click the Hyperlink button [[File:Editing Toolbar Hyperlink Button 01.png|24x24px]] which pops up the link panel.<br />
#Type the '''''desired name of the new page''''' into the "search" field - it will show a red link in the search field, indicating that the page doesn't exist yet. [[File:Editing Tutorial - Hyperlink panel nonexisting page 01.png|alt=Screenshot of hyperlink panel, Creating a hyperlink to a nonexisting page.|none|thumb|Creating a hyperlink to a nonexisting page.]]<br />
#Click the Red Link in the menu, which then sets this as the target of your Hyperlink.[[File:Editing Tutorial - Hyperlink panel nonexisting page 02 - CLICK arrow.png.png|alt=Screenshot showing Hyperlink panel to nonexisting page - Showing Arrow to CLICK on RedLink|none|thumb|Click on the RedLink in the search results.]]<br />
#Save the changes you have made to this page.<br />
#After Saving the page, you will see a "Red Link" - '''''colored red because it leads to a nonexistent page.''''' [[File:RedLink Screenshot.png|none|thumb|189x189px|A "red link", linking to a nonexistent page.]]<br />
#Click the red-link to the nonexistent page, which will show an option to create the new page.<br />
#Click [Create] to make the new page in VisualEditor mode (defaults to Source mode, which is not recommended). [[File:Click on "Create" Tab to enter VisualEditing Mode.png|alt=Screenshot showing to Click on "Create" Tab to enter VisualEditing Mode|none|thumb|506x506px|Click on the "Create" Tab to enter VisualEditing Mode]]<br />
#Make sure to "Save" the new page!<br />
<br />
===Inserting images into a page===<br />
Only Staff & Admins May upload files. If you want to do this but don’t have permission, please let the [[Wiki Admin|wiki admin]] know and we’ll be happy to help you complete your edits.<br />
<br />
There are numerous ways to insert an image into a page you are editing. Here we describe one method only that is the easiest to follow.<br />
<br />
In addition, there are various options that allow you to insert the image inline with text, or on it's own line with a caption+border, or off to the side with text flowing around it. These are each described at the bottom.<br />
<br />
#You should be in VisualEditor mode on the page, not source mode. Click the '''|Create|''' tab to enter VisualEditor mode.<br />
#Place your text cursor in the location you would like to insert the image.<br />
#In the VisualEditor toolbar, click '''''Insert > Media'''''. You can insert your image in two ways<br />
##If the image is already uploaded to the server, use the "''<u>Search</u>''" tab to find it and select it.<br />
##If you would like to upload a new image from your computer, switch to the "''<u>Upload</u>''" tab > (Choose File) to select the file on your computer, and upload it. Please give it a filename that begins with the purpose of the image, eg. "''LEXT Instructions - Cancel button.jpg''" or "''E-Beam1 Tool Photograph.png''". This makes it easy to search for your image, and know what it is used for (and it won't get accidentally deleted this way!).<br />
#Click "'''''Use This Image'''''". The Image's "'''Media Settings'''" window will automatically pop up. The "'''General Settings'''" fields are optional.<br />
#Switch to the "'''Advanced Settings'''" panel<br />
##If you want to have the image "float" to any convenient place on the page, leave '''[√] Wrap text around this item''' enabled. See the "Floating image" example to the right → [[File:MacOS - Happy Mac.png|alt=floating happy mac image|thumb|"Floating" Image example]]<br />
###To include a caption, use the '''Thumbnail''' image type, and set the caption in the '''General Settings''' pane.<br />
##If you want the image to show up in-line with your text, like this: [[File:MacOS - Happy Mac.png|frameless|20x20px]]<br />
###Uncheck '''[√] ''Wrap text around this item'''''<br />
###Choose "frameless" or "basic" image type<br />
##Optionally click '''''Image Size > Custom''''' and reduce ''only'' ''one'' of the sizes until the image size looks good. <br />
###Image Widths (1st field) of about 200-600px look good as stand-alone images, floating on the page.<br />
###Image Heights (2nd field) of about 10-40px look good inline next to text.<br />
#Click '''''Insert''''' to insert the image. You can always Double-Click the image or Choose the '''''Edit''''' button to change these settings again.<br />
<br />
===Linking to a PDF or other file===<br />
First you upload the PDF (or other acceptable file type), and copy the link to the newly uploaded PDF, and then paste that link into your Wiki page. It is useful to do this with two separate windows open, one for editing the wiki page you want to add a link on, and another for uploading the file. <br />
<br />
#Make sure you are logged in. If you get logged out during this process, don't close any windows, [https://wiki.nanotech.ucsb.edu/w/index.php?title=Frequently_Asked_Questions#.22Something_went_wrong.22_while_saving_my_edits.21_How_do_I_keep_my_edits.3F instead follow this].<br />
#Optionally, '''''open a new web-browser window''''' for performing the file upload.<br />
#In the left sidebar, near the bottom, click '''Upload FIle''' <br />
#:[[File:Editing Tutorials - Upload PDF - 1- upload file.png|border]]<br />
#Click '''[Choose File]''' and select the file from your computer you want to upload.<br />
#:[[File:Editing Tutorials - Upload PDF - 2- choose file.png|238x238px|border]]<br />
#'''Enter the filename''' you'd like the file to have once it is on the server. Something simple like "ToolName - SOP.pdf" etc. Make sure you keep the same file extension. Also Enter a '''Description''' of the file, can be as simple as the above. This makes it searchable on the wiki.<br />
#:[[File:Editing Tutorials - Upload PDF - 3b- filename descript upload button.png|300x300px|border]] <br /><br />
#Click the '''[Upload]''' button, and wait for the upload to complete (after which a new page will show).<br />
##If it shows a warning, choose '''[Submit modified filename/description]'''<br />
#::[[File:Editing Tutorials 3c- modifications etc..png|500x500px|border]]<br />
#On the resulting ''File Info'' page, right-click the file link and '''Copy Link/URL'''.<br />
#:[[File:Editing Tutorials 4b- file info right click URL.png|381x381px|border]]<br />
#Back on the wiki page you're editing, select the text you want to link, and paste in the URL as an ''External Link''.<br />
#:[[File:Editing Tutorials - 5- insert link into page.png|400x400px|border]]<br />
<br />
==== Linking to a file you already uploaded ====<br />
If you already uploaded the file and now need to link to it, you can find the uploaded file and copy the link as follows:<br />
# On the left sidebar, at the bottom section "'''Tools'''", click "'''Upload File'''".<br />
# On that page, click the link for "'''List of Uploaded Files'''" in the 2nd sentence.<br />
# Locate your file in the list.<br />
# Click the Title of the file, which brings you to the File's ''File Info'' page - same as the above procedure (Step 7). <br />
#: [[File:Editing Tutorials - List of Uploaded Files - click the file title to get file page.png|625x625px]]<br />
# From here, follow Step 7 above onwards - you can now copy the directly link to the file itself, and insert into your desired Wiki page, as described above.<br />
<br />
=== Updating an uploaded file to a new version ===<br />
You can replace an uploaded file with a new version. This means any links will now point to the new version.<br />
* Find the "File:" page for the existing file on the wiki. Do this by:<br />
** Searching the Wiki for the ''file name'' of the original file, or part of the file name. '''Hit [Enter] in the search bar''' to show all search results.<br />
** In the search results, click on the Wiki-Page of your File - it will begin with "'''File:'''", such as "File: My Photo.jpg"<br />
** Under "File History", click "'''upload new version'''".<br />
** Upload your new version of the file. It will automatically have exact same destination file name on the server, so all links to the file will still work.<br />
** (It can take ~1 day for user's web browsers to stop using cached old versions of the file.)<br />
<br />
==Administration==<br />
<br />
===Approving Edits (Staff/Admin only)===<br />
While lab users are allowed to modify the wiki, their edits will not become visible to anyone until a staff member approves those edits. (When any member of the staff edits a page, those edits are automatically approved.) <br />
<br />
'''NOTE TO''' '''STAFF:''' '''Take care to watch for approvals before editing''' because if a page has edits waiting to be approved and you edit the page normally, those edits will automatically be approved!! Before you edit a page, always make sure that there are no new revisions waiting to be approved (this is described below). <br />
<br />
When you arrive at a page, you will see one of two messages. Either '''"No new revisions"''' or '''"THERE ARE NEW REVISIONS TO THIS PAGE."'''.<br />
{|<br />
|-<br />
|[[image:Approve1.png|none|thumb|600px|No new revisions.]]||[[image:Approve2.png|none|thumb|600px|THERE ARE NEW REVISIONS TO THIS PAGE.]]<br />
|-<br />
|}<br />
If there are new revisions, click on the '''history''' tab. <br />
[[image:Approve3.png|none|thumb|600px|History tab.]]<br />
This will take you to the full edit history of the page. You will see an entry that has a star on it, this is the current approved revision. Anything after that (above it) has not yet been approved. Put the LEFT radial button on the currently approved revision and set the right radial button anywhere above it to compare other additions. Click "'''Compare selected revisions'''". <br />
[[image:Approve4.png|none|thumb|1000px|History]]<br />
The window will show you the before (on the left) and the after (on the right). You can also scroll down to see how the NEW page actually looks (AFTER the edit you are view has taken effect). In this case, the user "Guest" has deleted the sentence "The Sharon is a cryo-pumped thin film evaporator with a Temescal four hearth 270° bent beam evaporation source." and has inserted the sentence "THIS IS A TEST". If you like the edit and want to keep it, go back (by pressing the back button in your web-browser) and then click approve next to the edit in question. If you do NOT like the edit, click "'''undo'''", then scroll to the bottom of the page that opens and click save. If you like part of the edit but want to modify it, approve it, then edit the page as you normally would.<br />
[[image:Approve5.png|none|thumb|900px|]]<br />
<br />
===My Watchlist===<br />
Your watchlist is the set of pages that you are "watching" for changes. You can view you watchlist at any time by clicking the link in the top right of the screen. [[image:Watch1.png|thumb|none|400px|]]<br />
<br />
I strongly recommend that you each add your tools to your watch list. This will allow you to see whenever these pages are modified by a user. To add a page to your watch list, go to the page you want to add and click on "'''watch'''" at the top of the page. (To remove a page from you watchlist, do the same thing, the button will read "'''unwatch'''" instead.)<br />
[[image:Watch2.png|thumb|none|400px|]]<br />
<br />
You can also set it up so that you will recieve an e-mail any time one of the pages on your watchlist is changed (by someone other then yourself). I would also HIGHLY recommend doing this. These emails will come from NanofabWiki@ece.ucsb.edu so you can setup a filter and put all these e-mails in one folder. You will only receive one e-mail per page between visits. In other words if 10 people make changes back to back. You will only get ONE e-mail notifying you that a change has been made, not 10 e-mails. As soon as you view the page form your account, that resets so any changes made after you view it will result in another, single e-mail. <br />
<br />
To set this up go to your preferences by click on the "'''my preferences'''".<br />
[[image:Watch3.png|thumb|none|400px|]]<br />
<br />
In your preferences you will find a lot of options. The 2 that you need to take care of are your e-mail address (enter it into the box labeled "E-mail") and you need to check the box next to "E-mail me when a page on my watch-list is changed." Save the changed by clicking "Save" at the bottom of the page.<br />
<br />
===Editing Restrictions===<br />
To prevent unauthorized editing on the site, we have setup 4 different user groups. These restrictions only pertain to EDITING. <br />
<br />
1) '''Annonymous/Unregistered users'''<br />
<br />
:Anyone not registered or not logged in will not be able to edit anything on the site.<br />
<br />
2) '''Lab Users'''<br />
<br />
:This is anyone who we know to be doing research in the lab (names taken from SignupMonkey). They are allowed to edit existing pages but are not allowed to upload files or create new pages. All edits by ''Lab Users'' have to be approved by staff members before they are viewable on the site.<br />
<br />
3) '''Staff'''<br />
<br />
:This group consist of all staff members. Staff will be able to edit 95% of the pages on the wiki. There are a select few pages on the site that are locked. For example, on the [[Main Page]], ''Staff'' do not have the option to "EDIT" the page, only the option to "VIEW SOURCE"<br />
<br />
4) '''Administrators'''<br />
<br />
:This group is for the people in charge of maintaining the nitty-gritty of the site. They have unrestricted access and can change anything on the site. They can also change the "user group level" for any user.<br />
<br />
==Troubleshooting / FAQ==<br />
<br />
===It won't let me "Save" my changes!===<br />
Sometimes the web server logs you out due to "inactivity" when you are actually just spending a long time editing a page. Thus, when you try to "'''''save page'''''", you get an error like "you are not authorized to edit this page" since it thinks you're not logged in.<br />
<br />
This is the typical error:<br />
We could not save your edit because the session was no longer valid. Do you want to save this page as an anonymous user instead? Your IP address will be recorded in this page's edit history.<br />
If this happens, do Not close the window with your unsaved edits!<br />
<br />
A quick workaround is to open a New web-browser window, navigate to the [[Main Page|wiki]] and [[Special:UserLogin|log in there]]. After a few seconds, try saving the edited page again, and it should work this time. You may have to hit "'''''Try Again'''''" for it to work.<br />
<br />
If this does not work, you want to be sure you don't lose all your hard work! One way to do this is to copy the Wiki Code into a text file on your computer, as follows: If you are using the VisualEditor (default), switch to Source Code view via the '''''Pen button''''' [[File:Visual Editor - switch to source mode - pen button.png|border|38x38px]] > '''''[Source Editing]''''', then '''''Select All''''' and '''''Copy''''' the code for the page. Then paste it into a NotePad.exe or TextEdit.app window on your computer (optionally save the file to your computer somewhere).<br />
<br />
Then, once you have managed to log back into the Wiki, you can edit the ''''''Source'''''<nowiki/>' of the page and '''''paste''''' your source code in the appropriate place. Be sure to "Preview" the results to make sure it worked as expected, and that you pasted into the right spot!<br />
<br />
===I can't upload my file - it says the file is too large===<br />
For uploaded files, there is a maximum file size limit and a limited list of allowed file types, to prevent hacking and viruses. If you run into one of these limitations please contact the [[Wiki Admin]] and they can help you get the file uploaded and properly linked.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Dry_Etching_Recipes&diff=159199Dry Etching Recipes2021-09-04T19:52:53Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{Recipe Table Explanation}}<br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center; font-size: 95%" border="1"<br />
|- bgcolor="#d0e7ff"<br />
! colspan="18" width="725" height="45" |<div style="font-size: 150%;">Dry Etching Recipes</div><br />
|- bgcolor="#d0e7ff"<br />
|<!-- INTENTIONALLY LEFT BLANK --><br />
! colspan="3" |'''[[RIE Etching Recipes|RIE Etching]]'''<br />
! colspan="6" |'''[[ICP Etching Recipes|ICP Etching]]'''<br />
! colspan="5" bgcolor="#d0e7ff" align="center" |'''[[Oxygen Plasma System Recipes|Oxygen Plasma Systems]]'''<br />
! colspan="3" bgcolor="#d0e7ff" align="center" |'''[[Other Dry Etching Recipes|Other Dry Etchers]]'''<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_2_.28MRC.29|RIE 2<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_3_.28MRC.29|RIE 3<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_5_.28PlasmaTherm.29|RIE 5<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#DSEIII_.28PlasmaTherm.2FDeep_Silicon_Etcher.29|DSEIII<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|Fluorine ICP <span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_1_.28Panasonic_E626I.29|ICP Etch 1<br><span style="font-size: 88%;">(Panasonic E626I)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29|ICP Etch 2<br><span style="font-size: 88%;">(Panasonic E640)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP Etching Recipes#Oxford ICP Etcher .28PlasmaPro 100 Cobra.29|Oxford ICP <span style="font-size: 88%;">(PlasmaPro 100)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP-Etch_.28Unaxis_VLR.29|ICP-Etch<br><span style="font-size: 88%;">(Unaxis VLR)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Ashers_.28Technics_PEII.29|Ashers<br><span style="font-size: 88%;">(Technics PEII)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Clean_.28Gasonics_2000.29|Plasma Clean<br><span style="font-size: 88%;">(Gasonics 2000)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen Plasma System Recipes#Plasma Clean .28YES EcoClean.29|Plasma Clean <span style="font-size: 88%;">(YES EcoClean)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#UV_Ozone_Reactor|UV Ozone Reactor]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Activation_.28EVG_810.29|Plasma Activation<br><span style="font-size: 88%;">(EVG 810)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#XeF2_Etch_.28Xetch.29|XeF2 Etch<br><span style="font-size: 88%;">(Xetch)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29|Vapor HF Etch<br><span style="font-size: 88%;">(uETCH)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29|CAIBE<br><span style="font-size: 88%;">(Oxford)</span>]]<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ag<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<br />
|<br />
|<br />
|[[RIE Etching Recipes|A]]<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Al Etch (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|Al Etch (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Au<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Cr<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Cr Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Cu<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ge<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Mo<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ni<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Pt<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ru<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|{{Rl|ICP Etching Recipes|Ru (Ruthenium) Etch (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Si<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|DSEIII_(PlasmaTherm/Deep_Silicon_Etcher)}}<br />
|{{Rl|ICP Etching Recipes|Si Etching}}<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (XeF2 Etcher)}}<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ta<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ti<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Ti Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#Al2O3_Etching_.28Panasonic_2.29 R]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3 (Sapphire)</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Sapphire Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |AlGaAs<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|AlGaAs\GaAs Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|AlGaAs Etch (Panasonic 1)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaAs-AlGaAs Etch (Unaxis VLR)|AlGaAs Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |AlGaN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |AlN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |CdZnTe<br />
|{{rl|RIE Etching Recipes|CdZnTe Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |GaAs<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|AlGaAs\GaAs Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaAs-AlGaAs_Etch_.28Panasonic_1.29}}<br />
|{{rl|ICP Etching Recipes|GaAs Etch (Panasonic 2)}}<br />
|A<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaAs-AlGaAs Etch (Unaxis VLR)|GaAs Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |GaN<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|GaN Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Panasonic 1)}}<br />
|<br />
|A<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |GaSb<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaSb Etch Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |HfO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |InGaAlAs<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |InGaAsP<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|R<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |InP<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|A<br />
|R<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ITO<br />
|{{rl|RIE Etching Recipes|ITO Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
!Photoresist<br />
& ARC<br />
|<br />
|A<br />
|[https://wiki.nanotech.ucsb.edu/wiki/RIE_Etching_Recipes#Photoresist_and_ARC_.28RIE_5.29 R]<br />
|<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_.26_ARC_.28Fluorine_ICP_Etcher.29 R]<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_and_ARC_Etching_.28Panasonic_1.29 R]<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_and_ARC_etching_.28Panasonic_2.29 R]<br />
|<br />
|<br />
|A<br />
|A<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |SiC<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiC Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |SiN<br />
|<br />
|{{rl|RIE Etching Recipes|RIE 3 (MRC)|SiN<sub>x</sub> Etching (RIE 3)}}<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiNx Etching (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|SiNx Etching (Panasonic 2)}}<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>2</sub><br />
|<br />
|{{rl|RIE Etching Recipes|RIE 3 (MRC)|SiO<sub>2</sub> Etching (RIE 3)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Fluorine ICP Etcher)}}<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (Vapor HF Etcher)}}<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |SiOxNy<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ta<sub>2</sub>O<sub>5</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|[https://www.osapublishing.org/optica/abstract.cfm?uri=optica-4-5-532 A]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |TiN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |TiO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |W-TiW<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|W-TiW Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnS<br />
|{{rl|RIE Etching Recipes|ZnS Etching (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnSe<br />
|{{rl|RIE Etching Recipes|ZnS Etching (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |ZrO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_2_.28MRC.29|RIE 2<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_3_.28MRC.29|RIE 3<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_5_.28PlasmaTherm.29|RIE 5<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#DSEIII_.28PlasmaTherm.2FDeep_Silicon_Etcher.29|DSEIII<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|Fluorine ICP <span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_1_.28Panasonic_E626I.29|ICP Etch 1<br><span style="font-size: 88%;">(Panasonic E626I)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29|ICP Etch 2<br><span style="font-size: 88%;">(Panasonic E640)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP Etching Recipes#Oxford ICP Etcher .28PlasmaPro 100 Cobra.29|Oxford ICP <span style="font-size: 88%;">(PlasmaPro 100)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP-Etch_.28Unaxis_VLR.29|ICP-Etch<br><span style="font-size: 88%;">(Unaxis VLR)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Ashers_.28Technics_PEII.29|Ashers<br><span style="font-size: 88%;">(Technics PEII)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Clean_.28Gasonics_2000.29|Plasma Clean<br><span style="font-size: 88%;">(Gasonics 2000)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen Plasma System Recipes#Plasma Clean .28YES EcoClean.29|Plasma Clean <span style="font-size: 88%;">(YES EcoClean)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#UV_Ozone_Reactor|UV Ozone Reactor]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Activation_.28EVG_810.29|Plasma Activation<br><span style="font-size: 88%;">(EVG 810)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#XeF2_Etch_.28Xetch.29|XeF2 Etch<br><span style="font-size: 88%;">(Xetch)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29|Vapor HF Etch<br><span style="font-size: 88%;">(uETCH)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29|CAIBE<br><span style="font-size: 88%;">(Oxford)</span>]]<br />
|}<br />
<br />
[[Category:Processing]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Filmetrics_F10-RT-UVX_Operating_Procedure&diff=159198Filmetrics F10-RT-UVX Operating Procedure2021-09-04T19:52:52Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>Standard operating Procedures for the UCSB NanoFab [https://wiki.nanotech.ucsb.edu/w/index.php?title=Optical_Film_Spectra_%2B_Optical_Properties_(Filmetrics_F10-RT-UVX) Filmetrics FT10-RT-UVX].<br />
<br />
= Reflectance/Transmittance Spectrum Acquisition =<br />
<br />
== Startup ==<br />
# Turn on both Deuterium and Halogen lamps, and Shutter by pressing those buttons (Let the lamps be warm up at least 5 minutes before taking measurement) <br />
# Open '''FILMeasure''' software. <br />
# Choose either Transmittance or Reflectance measurement by clicking '''Spectra''' button, then, '''Edit Recipe''' button, then, '''Acquisition Settings''' tab. <br />
# Under '''Measurement Type''', choose either '''Transmittance 0°''' or '''Reflectance 0°''', then, click '''Apply''' button, then, '''OK''' button. <br />
<br />
== Transmittance measurement ==<br />
# Click on '''Baseline''' button, put the bare (no film) substrate (face down), on which the film is deposited, onto the sample stage hole. Then, click '''Take Transmittance Standard''' button. Let it finish, then, take the substrate off the stage.<br />
# Put Dark measurement reference sample onto the sample stage hole with the two bottom bumps fitting to the holes on the stage. Then, click '''Take Dark''' button. Let it finish, then take the Dark sample off the stage.<br />
# Click '''Finish''' button (this is the end of the Transmittance baseline measurement).<br />
# Put your film sample (face down) onto the sample stage hole, then, click '''Measure''' button. Let it finish. This is the transmittance of your sample.<br />
# Save the data: click '''File''' menu at the top left of the screen, then, '''Save Measured Spectrum''' tab, choose file type (''.fmspe'': you can open the data curve later on within the FilMeasure software only; ''.csv'': you can read the data into a sheet using Microsoft Excel), then, type the name of the file, click '''Save''' button.<br />
# If you have more film samples, continue. Otherwise, '''''turn off the lamps as well as Shutter''''' by pressing those buttons (to save the lamp life time).<br />
# Finally, write your name, etc. into the logbook.<br />
<br />
== Reflectance measurement ==<br />
# Click '''Baseline''' button, then, put the sample (you want to measure the reflectance), face-down, onto the sample stage hole, then, click '''Take Sample Reflectance''' button, Let it finish, then, take the sample off the stage.<br />
# Put one of Reflectance Standards (''BK7'': for the reflectance of 0-12%; ''Si'': for the reflectance of 12-60%; ''Al'': for the reflectance of 60-100%) onto the sample stage with the two bottom bumps fitting into the hole on the sample stage, then, click '''Take Reflectance Standard''' button. Let it finish, then, take the standard off the stage.<br />
# Click '''Take Background''' button (no sample!). Let it finish.<br />
# Put the Dark measurement sample onto the sample stage with the two bottom bumps fitting into the holes on the stage, then, click '''Take Dark''' button. Let it finish, then, take the Dark sample off the stage.<br />
# Click '''Finish''' button (This is the end of Reflectance baseline measurement). <br />
# Click '''Thickness, n, k, r''' Tab, then, '''Arrow-down''' button of the menu, choose a '''Model''', then, click '''OK''' button.<br />
# Click '''Edit Recipe''' button, then, edit the model according to your film sample (suck as film thickness unit, film stack,. <br />
# Click '''Acquisition Settings''' tab, then, under '''Measurement Type''', choose '''Reflectance 0°''' (you can save your recipe into a new recipe name by clicking the icon at the top left corner of the screen), then, click '''OK''' button.<br />
# Put your sample, face down, onto the sample stage hole, then, click '''Measure''' button. Let it finish. This is the reflectance of your sample. You can also get the film thickness, n, k, r from the fitting to the reflectance curve.<br />
# Save the data: click '''File''' tab at the top left of the screen, then, '''Save Measured Spectrum''' tab, choose file type (''.fmspe'': you can open the data curve later on within the software; ''.csv'': you can read the data into a sheet using Microsoft Excel), then, type the name of the file, click '''Save''' button.<br />
# If you have more film samples, continue. Otherwise, '''''turn off the lamps as well as Shutter''''' by pressing those buttons (to save the lamp lift time).<br />
# Finally, write your name, etc. into the logbook.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=ICP_Etch_2_(Panasonic_E626I)&diff=159197ICP Etch 2 (Panasonic E626I)2021-09-04T19:52:47Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ICP1.jpg<br />
|type = Dry Etch<br />
|super= Tony Bosch<br />
|phone=(805)839-3918x219<br />
|location=Bay 2<br />
|email=silva@ece.ucsb.edu<br />
|description = ICP Etch<br />
|manufacturer = Panasonic Factory Solutions <br />
|materials = <br />
|toolid=23<br />
}} <br />
= About =<br />
<br />
This is a single-chamber tool for etching of a variety of materials. The chamber is configured as an ICP etching tool with 1000 W ICP power, 500 W RF substrate power, and RT - 80°C operation with back-side He cooling and an electrostatic chuck to maintain controlled surface temperatures during etching. This chamber has Cl<sub>2</sub>, BCl<sub>3</sub>, CF<sub>4</sub>, CHF<sub>3</sub>, SF<sub>6</sub>, Ar, N<sub>2</sub>, and O<sub>2 </sub>for gas sources and can be used to etch a variety of materials from SiO<sub>2</sub> to metals to compound semiconductors. The chamber is evacuated with a 2000 lpm Osaka Vacuum magnetically levitated turbo pump, allowing for fast pump down. <br />
<br />
The system is also equipped with a red laser monitoring system from Intellemetrics for more precise etch stop control.<br />
<br />
= Detailed Specifications =<br />
<br />
*1000 W ICP source, 500 W RF Sample Bias Source in etching chamber <br />
*Room Temp. – 80°C sample temperature for etching. Default 15°C Chuck temperature. <br />
*Optimal Emission Monitoring <br />
*Etch pressure from 0.1 Pa to 5 Pa (0.75 mT - 37.5 mT) <br />
*Cl<sub>2</sub>, BCl<sub>3</sub>, (Ar or CHF<sub>3</sub>), (CF<sub>4</sub> or SF<sub>6</sub>), N<sub>2</sub>, and O<sub>2</sub> in etch chamber<br />
*O<sub>2</sub>, N<sub>2</sub>, CF<sub>4</sub>, H<sub>2</sub>O Vapor for ashing chamber <br />
*Single 6” diameter wafer capable system <br />
*Pieces possible by mounting to 6” wafer<br />
*670nm laser endpoint detector with camera and simulation software: [[Laser Etch Monitoring|Intellemetrics LEP 500]]<br />
<br />
=Documentation=<br />
*{{file|ICP-Etch-2-Operating-Manual.pdf|Operating Instruction Manual}}<br />
*{{file|Panasonic2.pdf|Training Notes}}<br />
*{{file|Gas-Change.pdf|Gas Change Instructions}}<br />
*{{file|manualwafertransfer.pdf|Manual Wafer Transfer Instructions}}<br />
*[[Laser Etch Monitoring|Laser Etch Monitor procedures]]<br />
*Online Training Video:<br />
**[https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=8b676980-1c9a-420c-a2e5-ac180139939d <u>Panasonic ICP#2 Training</u>]<br />
**'''Important:''' ''This video is for reference only, and does not give you authorization to use the tool. You must be officially authorized by the supervisor before using this machine.''<br />
<br />
= Recipes =<br />
* [https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29 ICP2 Recipes & Historical Data]<br />
** Starting point recipes for ICP2 specifically.<br />
** ''Historical Data'' records "calibration" etches to test tool performance.<br />
<br />
* [https://wiki.nanotech.ucsb.edu/w/index.php?title=Dry_Etching_Recipes Dry Etching Recipes]<br />
** Table of all dry etching recipes, showing which tools can etch which materials etc.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Lift-Off_with_DUV_Imaging_%2B_PMGI_Underlayer&diff=159196Lift-Off with DUV Imaging + PMGI Underlayer2021-09-04T19:52:31Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div> Developed by Demis D. John, ~2017-2019<br />
This process is intended for Deep-UV Exposure on the ASML DUV Stepper. PMGI is used as the underlayer, which is exposed at the same time as the imaging resist. <br />
<br />
Adjust spin speed or switch to a different PMGI formulation to tailor the underlayer thickness to your desired metal thickness.<br />
<br />
==Tips==<br />
Please see our [[https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Lift-Off_Techniques Bi-Layer Lift-Off Tutorial]] to understand the limitations and requirements for good lift-off.<br />
<br />
*Remember that gaps in between adjacent metal traces can lift-off during the develop!<br />
<br />
*Need underlayer thickness approx. 2x the desired metal thickness.<br />
<br />
*The underlayer will develop laterally from both sides which can lift-off the imaging resist, so:<br />
**Minimum gap between adjacent metals should then be: less than 0.5 * underlayer thickness<br />
**Want at least 30-50nm of underlayer width left to support the imaging resist, so the PR doesn't fall over/collapse.<br />
<br />
*Make sure to use a vertical evaporation - EBeam4 or EBeam1. EBeam3 is not vertical unless special care is taken.<br />
<br />
=== Process Limits ===<br />
This process is written with PMGI SF-5 @ 4krpm, which spins to about 130nm<br />
* <u>Maximum metal thickness</u> = 130nm/2 ≈ 6'''5nm'''<br />
* Undercuts laterally about 130-140nm, so <u>minimum gap between metals</u> = (2 x 140nm) + ~30nm ≈ '''350nm'''<br />
Adjust the PMGI layer thickness to adjust these process limits.<br />
<br />
==Suggested Process for Liftoff==<br />
{| class="wikitable"<br />
|De-H2O Bake (eg. 220°C, 1m+)<br />
- or -<br />
Technics O2 ash (300mT, 100W), 15sec<br />
|Oxygen ash is faster and more effective<br />
|-<br />
|Spin PMGI SF-5 @ 4krpm (rcp 7)<br />
|<br />
|-<br />
|POLOS underside clean: 2000rpm, ACE/ISO/N2<br />
|<br />
|-<br />
|PMGI-Bake: 220°C, 3min (BrewerSci lift-pin hotplate). <br />
|Can just place wafer directly onto hotplate surface. <br />
Optionally can use lift-pins & Recipe "'''00 220deg, 3min Vac'''"; <br />
<br />
--> To prevent wafer sliding: Enable Vacuum with overhead valve, only for wafer landing and then turn off.<br />
|-<br />
|Spin UV-6-0.8 @ rcp 6 (3.5krpm)<br />
|<br />
|-<br />
|POLOS underside clean: 2000rpm, ACE/ISO/N2<br />
|<br />
|-<br />
|Soft-Bake = 135°C, 1min (builtin hotplate)<br />
|<br />
|-<br />
|(check underside for particulates)<br />
|<br />
|-<br />
|'''<u>ASML Exposure</u>'''<br />
|<br />
|-<br />
|Default: Exp = 37.5mJ // foc = –0.10<br />
|Try this exposure dose but might need to do a FocArray (smaller Image Distribution eg. 5x5) to find proper exposure.<br />
|-<br />
|PEB = 135°C, 1.5min (built-in hotplate)<br />
|<br />
|-<br />
|Dev (300MiF) = 50sec (CRITICAL time)<br />
|Use cassette & H2O rinse dish prepared<br />
--> not stirring, instead very slow/gentle lift/drop at various angles or gentle swish just to mix developer slightly.<br />
|-<br />
|DI rinse<br />
|Very Gently! Dunk into prepared DI dish, and dump/fill gently 2x. Don’t allow direct hard water to hit PR surface.<br />
|-<br />
|PEii Technics O2: 30sec (100W/300mT)<br />
|May increase feature size openings by ~50nm.<br />
|-<br />
|'''<u>Metal Evaporation</u>'''<br />
(insert steps)<br />
|EBeam#4 or EBeam#1 with vertical/4-inch holders (not planetary)<br />
|-<br />
|'''<u>Lift-off in NMP</u>'''<br />
|Facing down or vertical. <br />
Optional: going directly into continuous Ultrasonic can reduce residual particles.<br />
|}<br />
<br />
==Data==<br />
• For PMGI Baked at 220°C for 3min, the PMGI dissolves in AZ 300MIF at a rate of approximately ~600nm/min.<br />
<br />
===Images / Examples===<br />
[[File:PMGI+UV6 lift-off SEM - 2017-06-30 DJ.png|alt=SEM of PR cross-section|none|thumb|578x578px|SEM of holes in PR with above process. Top layer is UV6, 140nm underlayer is PMGI, substrate is Silicon. Lateral measurement of undercut is only approximate because circle may not have been cut through the exact diameter. (Demis D. John, 2017)]]<br />
<br /></div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=KLA_Tencor_P7_-_Saving_Profile_Data&diff=159195KLA Tencor P7 - Saving Profile Data2021-09-04T19:52:29Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>''To save or export raw numeric data of a profile/scan.''<br />
# Click the "APEX" Analysis button to load the profile data in the APEX software. <br />
## [[File:KLA-Tencor P7 - Saving Data - 1-APEX button.jpg|255x255px]]<br />
# Press Alt-Tab (maybe multiple times) to Switch to the APEX software, which launches in the background. <br />
## [[File:KLA-Tencor P7 - Saving Data - 2-switch to APEX.jpg|288x288px]]<br />
# Go to the '''''Studiables''''' tab, and click "'''''Save the Profile'''''" <br />
## [[File:KLA-Tencor P7 - Saving Data - 3-Apex Save the Studiable profile.jpg|286x286px]]<br />
# Save as file type "TXT - ASCII" <br />
## [[File:KLA-Tencor P7 - Saving Data - 4-Save as TXT.jpg|391x391px]]<br />
# Choose your data options, typical parameters below: <br />
## [[File:5-Export Options.jpg|441x441px]]<br />
# Save your data inside the "'''''USERS - NanoFiles'''''" folder (linked in the sidebar, desktop and Start bar), being sure to save within your group's folder (see [https://wiki.nanotech.ucsb.edu/w/index.php?title=Frequently_Asked_Questions#How_do_I_get_my_files_from_the_NanoFab_computers.3F here] for more info).<br />
# When done, please '''Close the APEX software''' and switch back to the main profilometer software.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Stepper_1_(GCA_6300)&diff=159194Stepper 1 (GCA 6300)2021-09-04T19:52:28Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=Stepper1.jpg<br />
|type = Lithography<br />
|super= Biljana Stamenic<br />
|location=Bay 7<br />
|description = GCA 6300 I-Line Wafer Stepper<br />
|manufacturer = GCA<br />
|materials = <br />
|toolid=37<br />
}} <br />
=About=<br />
<br />
Our GCA wafer stepper is an i-line (365 nm) step and repeat exposure tool for doing lithography that requires high resolution and/or critical alignment. The system has been modified to accept piece parts (down to ~15 mm x 15 mm) up to 6” diameter wafers using manual wafer loading. The maximum square die size is 14.8mm x 14.8mm. The system has an Olympus 2142 (N.A. = 0.42) lens that reduces the mask image by 5 x and gives an ultimate resolution of ~ 0.5 um in the center of the lens field. The system can easily produce 0.7 um isolated lines across the entire field. Autofocus is used to determine the sample surface relative to the lens, making the focus stable and repeatable for different thickness of wafer. The stages are controlled by stepper motors and laser interferometers. Using the global, manual alignment, better than 0.25 um alignment error is achievable. Using the DFAS local alignment system, alignment error better than 0.15 um is achieved. With the 350 W Hg arc lamp, we get approximately 180 mW/cm² of i-line intensity at the wafer. <br />
<br />
The system is computer controlled with the capability to program and save a wide variety of exposure jobs. We also have unlimited phone support for system problems through a service contract. The laboratory contains a variety of i-line compatible photoresists. SPR955CM-0.9 for 0.7-1.0 um thick positive processes. AZ5214E for 1.0-2.0 um thick image reversal (negative) process. SPR955CM-1.8 for 1.5-2.0 um thick positive processes. SPR220-3 for 2.5-5 um thick positive process. SPR220-7 for > 5 um thick positive processes. AZnLOF5510 for 1.0um and AZnLOF 2020 for 1.5-3 um negative resist process. Shipley LOL-2000 is also used as an underlayer for high resolution lift-off processes.<br />
<br />
=Detailed Specifications=<br />
<br />
*Lens: Olympus 2142: NA = 0.42; Depth of field = 1.2 um for 0.7 um process<br />
*Maximum die size: ~15 mm x 15 mm<br />
*Resolution: 500 nm over portion of field; 700 nm over entire field<br />
*Registration tolerance: Max 0.30 um global alignment; Max 0.15 um local alignment (with care, you can achieve &lt; 0.10 um registration)<br />
*Minimum substrate size: ~ 10 x 10 mm<br />
*Computer programmable recipes saved on hard disk<br />
<br />
=Process Information=<br />
<br />
*[https://signupmonkey.ece.ucsb.edu/w/index.php?title=Lithography_Recipes#Photolithography_Recipes Process Page: Photolithography Recipes]<br />
<br />
=Service Provider=<br />
<br />
*[http://3ctechnical.com/index.html 3C Technical] - The company that services the stepper.<br />
<br />
=Operating Procedures=<br />
<br />
*[[Stepper 1 (GCA 6300) - Standard Operating Procedure|Standard Operating Procedure]]<br />
*[[Programming a Job]]<br />
*[[GCA 6300 Mask Making Guidance]] ('''''Work in progress- not ready yet''''')<br />
*[[GCA 6300 USer Accessible Commands|GCA 6300 User Accessible Commands]]<br />
*[[Troubleshooting and Recovery]] <br />
<br />
*[[Old Training Manual]]<br />
<br />
= Staff Procedures =<br />
''These procedures are for Staff use - contact staff if you think you need to run these!''<br />
*[[GCA 6300 Reboot Procedures]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=ICP_Etching_Recipes&diff=159193ICP Etching Recipes2021-09-04T19:52:25Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{recipes|Dry Etching}}<br />
<br />
=[[DSEIII_(PlasmaTherm/Deep_Silicon_Etcher)]]=<br />
<br />
==Edge-Bead Removal==<br />
Make sure to remove photoresist from edges of wafer, or PR may stick to the top-side wafer clamp and destroy your wafer during unload!<br />
<br />
*[[ASML DUV: Edge Bead Removal via Photolithography|Edge Bead Removal via Photolithography]]: use a custom metal mask to pattern the photoresist with a flood exposure.<br />
**If you are etching fully through a wafer, remember that removal of edge-bead will cause full etching in the exposed areas. To prevent a wafer from falling into the machine after the etch, you can [[Packaging Recipes#Wafer Bonder .28Logitech WBS7.29|mount to a carrier wafer using wax]].<br />
<br />
==High Rate Bosch Etch (DSEIII)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/4/4a/10-Si_Etch_Bosch_DSEIII.pdf Bosch Process Recipe and Characterization] - Standard recipe on the tool.<br />
**Recipe Name: "'''''Plasma-Therm Standard DSE'''''" (''Production'' - copy to your ''Personal'' category)<br />
**Standard [https://en.wikipedia.org/wiki/Deep_reactive-ion_etching#Bosch_process Bosch Process] for high aspect-ratio, high-selectivity Silicon etching.<br />
**Cycles between polymer deposition "Dep" / Polymer etch "Etch A" / Si etch "Etch B" steps. Step Times gives fine control.<br />
***To reduce roughening/grassing (black silicon), reduce Dep step time by ~20%.<br />
**Patterns with different etched areas will have different "optimal" parameters.<br />
**Approx Selectivity to Photoresist: 60-80 or better. Larger open area, lower selectivity and lower etch rate.<br />
<br />
==Single-Step Low Etch Rate Smooth Sidewall Process (DSEIII)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/8/8f/10-Si_Etch_Single_Step_Smooth_Sidewall_DSEIII.pdf Single Step Silicon Etch Recipe and Characterization]<br />
**Recipe Name: "'''''Nano Trench Etch'''''" (''Production'' - copy to your ''Personal'' category)<br />
**Used instead of Bosch Process, to avoid scalloping on the sidewall.<br />
**Lower selectivity, lower etch rate, smoother sidewalls.<br />
<br />
=[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|PlasmaTherm/SLR Fluorine Etcher]]=<br />
==Si Etching (Fluorine ICP Etcher)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/b/b8/SLR_-_SiVertHF.pdf SiVertHF] - Si Vertical Etch using C<sub>4</sub>F<sub>8</sub>/SF<sub>6</sub>/CF<sub>4</sub> and resist mask<br />
**Etch Rates: Si ≈ 300-350 nm/min; SiO<sub>2</sub> ≈ 30-35 nm/min<br />
**89-90 degree etch angle, ie, vertical.<br />
**Due to high selectivity against SiO2, it may be necessary to run a ~10sec 50W SiO<sub>2</sub> etch (below) to remove native oxide on Si. This can be performed ''in situ'' before the Si etch.<br />
<br />
==SiO2 Etching (Fluorine ICP Etcher)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/w/images/f/f6/SiO2_Etch%2C_Ru_HardMask_-_Fluorine_ICP_Etch_Process_-_Ning_Cao_2019-06.pdf SiO2 Etching using Ruthenium Hardmask] - Full Process Traveler<br />
**''Ning Cao & Bill Mitchell, 2019-06''<br />
**''High-selectivity and deep etching using sputtered Ru hardmask and I-Line litho.''<br />
**''Variations in SiO<sub>2</sub> etch Bias Power: 50 / 200 / 400W bias.''<br />
**Ru etch selectivity to PR: 0.18 (less than 1): 150nm Ru / 800nm PR<br />
**200W Bias:<br />
***SiO<sub>2</sub> selectivity to Ru: 38<br />
***SiO<sub>2</sub> etch rate: 471nm/min<br />
**50W Bias:<br />
***Higher selectivity to photoresist: TBD<br />
***SiO<sub>2</sub> selectivity to Ru: 36<br />
***SiO<sub>2</sub> etch rate: 263nm/min<br />
**This etch is detailed in the following article: [[Template:Publications#Highly Selective and Vertical Etch of Silicon Dioxide using Ruthenium Films as an Etch Mask|W.J. Mitchell ''et al.'', JVST-A, May 2021]]<br />
<br />
==Photoresist & ARC (Fluorine ICP Etcher)==<br />
Chain multiple Recipes in a Flow, to allow you to to do ''in situ'' BARC etching, and follow up with ''in situ'' Photoresist Strip.<br />
<br />
*Etching [[Stepper Recipes#DUV-42P|DUV42P-6]] Bottom Anti-Reflection Coating<br />
**~60nm thick (2500krpm)<br />
**O2=20sccm / 10mT / RF1(bias)=100W / RF2(icp)=0W<br />
**1min<br />
*Photoresist Strip + Polymer Removal<br />
**O2=100sccm / 5mT / RF1(bias)=10W / RF2(icp)=825W<br />
**Use laser monitor to check for complete removal, overetch to remove Fluorocarbon polymers.<br />
<br />
==Historical Data (Fluorine ICP Etcher)==<br />
<br />
===SiO2 Etch Historical Data===<br />
<br />
*[[Test Data of Etching SiO2 with CHF3/CF4-Fluorine ICP Etcher|Test Data of Etching SiO<sub>2</sub> with CHF3/CF4-Fluorine ICP Etcher]]<br />
<br />
==Cleaning Procedures (Fluorine ICP Etcher)==<br />
''To Be Added''<br />
<br />
=[[ICP Etch 1 (Panasonic E626I)]]=<br />
==SiO<sub>2</sub> Etching (Panasonic 1)==<br />
<br />
===Recipes===<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/3/3e/Panasonic1-SiO-Etch.pdf SiO<sub>2</sub> Vertical Etch Recipe Parameters - CHF<sub>3</sub> "SiOVert"]<br />
**Etch rate ≈ 2300Å/min (users must calibrate)<br />
**Selectivity (SiO2:Photoresist) ≈ greater than 1:1 (users must calibrate)<br />
<br />
===Historical Data (SiO2, Panasonic 1)===<br />
<br />
*[[Test Data of etching SiO2 with CHF3/CF4/O2 (using this recipe only for Florine etch of the underneath layer)|Test Data of etching SiO2 with CHF3/CF4/O2]]<br />
*[[Test Data of etching SiO2 with CHF3/CF4-ICP1|Test Data of etching SiO2 with CHF3/CF4]]<br />
<br />
===Recipe Variations===<br />
''Use these to determine how each etch parameter affects the process.''<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/5/5e/Panasonic1-SiO2-Data-Process-Variation-CHF3-revA.pdf SiO<sub>2</sub> CHF<sub>3</sub> Etch Variations] - CHF3 with varying Bias and Pressure, Slanted SiO2 etching<br />
<br />
==SiN<sub>x</sub> Etching (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/c/ce/Panasonic1-SiN-Etch-Plasma-CF4-O2-ICP-revA.pdf SiN<sub>x</sub> Etch Rates and Variations - CF<sub>4</sub>-O<sub>2</sub>]<br />
<br />
==Al Etch (Panasonic 1)==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/3/3b/Panasonic-1-Al-Etch-RevA.pdf Al Etch Recipes - Cl<sub>2</sub>BCl<sub>3</sub>]<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/6/60/32-Reducing_AlCl3_Corrosion_with_CHF3_plasma.pdf AlCl<sub>3</sub> Erosion Issue and the Solution]<br />
<br />
==Cr Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/8/88/Panasonic-1-Cr-Etch-revA.pdf Cr Etch Recipes - Cl<sub>2</sub>O<sub>2</sub>]<br />
<br />
==Ta Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/f/f2/104_Ta_Etch.pdf Ta Etch Recipe] - Cl2/BCl3<br />
<br />
==Ti Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/4/47/Panasonic-1-Ti-Etch-Deep-RevA.pdf Ti Deep Etch Recipes - Cl<sub>2</sub>Ar]<br />
**See [[doi:10.1149/1.2006647|E. Parker, ''et. al.'' Jnl. Electrochem. Soc., 152 (10) C675-C683 2005]].<br />
<br />
==W-TiW Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/7/76/Panasonic1-TiW-W-Etch-Plasma-RIE-RevA.pdf Ti-TiW Etch Recipes - SF<sub>6</sub>Ar]<br />
<br />
==GaAs-AlGaAs Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/b/bb/Panasonic1-GaAs-PhotonicCrystal-RIE-Plasma-Nanoscale-Etch-RevA.pdf GaAs-Nanoscale Etch Recipe - PR mask - Cl<sub>2</sub>-BCl<sub>3</sub>-Ar]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/2/26/12-Plasma_Etching_of_AlGaAs-Panasonic_ICP-1-Etcher.pdf AlGaAs Etch Recipes - Cl<sub>2</sub>N<sub>2</sub>]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/0/04/Panasonic1-GaAs-Via-Etch-Plasma-RIE-Fast-DRIE-RevA.pdf GaAs DRIE via Etch Recipes - Cl<sub>2</sub>-BCl<sub>3</sub>-Ar PR passivation]<br />
<br />
==GaN Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/d/d6/07-GaN_Etch-Panasonic-ICP-1.pdf GaN Etch Recipes Cl<sub>2</sub>N<sub>2</sub>]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/6/60/Panasonic1-GaN-AlGaN-Selective-Etch-Plasma-RIE-ICP-RevA.pdf GaN Selective Etch over AlGaN Recipes BCl<sub>3</sub>-SF<sub>6</sub>]<br />
<br />
==Photoresist and ARC Etching (Panasonic 1)==<br />
[https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#Photoresist_and_ARC_etching_.28Panasonic_2.29 Please see the recipes for Panasonic ICP#2] - the same recipes apply. <br />
<br />
Etching of DUV42P at standard spin/bake parameters also completes in 45 seconds.<br />
<br />
==SiC Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/d/d0/Panasonic_1-SiC-ICP-RIE-Etch-Plasma-SF6-RevA.pdf SiC Etch Recipes Ni Mask - SF<sub>6</sub>]<br />
<br />
==Sapphire Etch (Panasonic 1)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/3/3a/Panasonic1-sapphire-etch-RIE-Plasma-BCl3-ICP-RevA.pdf Sapphire Etch Recipes Ni and PR Mask - BCl<sub>3</sub>-Cl<sub>2</sub>]<br />
<br />
==Old Deleted Recipes==<br />
Since there are a limited number of recipe slots on the tool, we occasionally have to delete old, unused recipes.<br />
<br />
If you need to free up a recipe slot, please contact [[Don Freeborn|Don]] and he'll help you find an old recipe to replace. We take photographs of old recipes, and save them in case a group needs to revive the recipe. Contact us if your old recipe went missing.<br />
<br />
=[[ICP Etch 2 (Panasonic E640)]]=<br />
Recipes starting points for materials without processes listed can be obtained from Panasonic1 recipe files. The chambers are slightly different, but essentially the same, requiring only small program changes to obtain similar results.<br />
<br />
==SiO<sub>2</sub> Etching (Panasonic 2)==<br />
<br />
===Recipes===<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/d/d5/Panasonic2-SiOx-Recipe.pdf SiO<sub>2</sub> Vertical Etch Recipe - CHF<sub>3</sub> "SiOVert"]<br />
**Direct copy of "SiOVert" from ICP#1, [[ICP_Etching_Recipes#SiO2_Etching_.28Panasonic_1.29|see parameters there]].<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/9/9e/33-Etching_SiO2_with_Vertical_Side-wall.pdf SiO<sub>2</sub> Vertical Etch Recipe#2 - CF<sub>4</sub>/CHF<sub>3</sub>]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/1/1e/Panasonic2-ICP-Plasma-Etch-SiO2-nanoscale-rev1.pdf SiO<sub>2</sub> Nanoscale Etch Recipe - CHF<sub>3</sub>/O<sub>2</sub>]<br />
<br />
===Historical Data (SiO2 Etch, Panasonic 2)===<br />
<br />
*[[Test Data of etching SiO2 with CHF3/CF4/O2 (using this recipe only for Fluorine etch of the underneath layer)|Test Data of etching SiO2 with CHF3/CF4/O2]]<br />
*[[Test Data of etching SiO2 with CHF3/CF4]]<br />
<br />
===Recipe Variations===<br />
''Use these to determine how each etch parameter affects the process.''<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/1/1e/05-SiO2_Nano-structure_Etch.pdf Angled SiO2 sidewall recipe]<br />
<br />
==SiN<sub>x</sub> Etching (Panasonic 2)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/0/06/Panasonic2-ICP-Plasma-Etch-SiN-nanoscale-rev1.pdf SiN<sub>x</sub> Nanoscale Etch Recipe - CHF<sub>3</sub>/O<sub>2</sub>]<br />
<br />
==Al Etch (Panasonic 2)==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/3/3b/Panasonic-1-Al-Etch-RevA.pdf Al Etch Recipes - use panasonic 1 parameters, etch rate 50% higher]<br />
<br />
==Al2O3 Etching (Panasonic 2)==<br />
[//wiki.nanotech.ucsb.edu/wiki/images/d/d2/Brian_Markman_-_Al2O3_ICP2_Etch_Rates_2018.pdf ALD Al2O3 Etch Rates in BCl3 Chemistry] (click for plots of etch rate)<br />
<br />
''Contributed by Brian Markman, 2018''<br />
<br />
*BCl3 = 30sccm<br />
*Pressure = 0.50 Pa<br />
*ICP Source RF = 500<br />
*Bias RF = 50W or 250W (250W can burn PR)<br />
*Cooling He Flow/Pressure = 15.0 sccm / 400 Pa<br />
*Etch Rate 50W: 0.66nm/sec<br />
*Etch Rate 250W: 1.0 nm/sec<br />
<br />
==GaAs Etch (Panasonic 2)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/f/ff/16-GaAs_etch-ICP-2.pdf GaAs Etch Recipes - Panasonic 2 - Cl<sub>2</sub>N<sub>2</sub>]<br />
<br />
==Photoresist and ARC etching (Panasonic 2)==<br />
Basic recipes for etching photoresist and Bottom Anti-Reflection Coating (BARC) underlayers are as follows:<br />
<br />
===ARC Etching: DUV-42P or AR6===<br />
<br />
*O2 = 40 sccm // 0.5 Pa<br />
*ICP = 75W // RF = 75W<br />
*45 sec for full etching of DUV-42P (same as for AR6; 2018-2019, [[Demis D. John|Demis]]/[[Brian Thibeault|BrianT]])<br />
<br />
===UV6-0.8 Etching===<br />
Works very well for photoresist stripping<br />
<br />
*O2 = 40 sccm // 1.0 Pa<br />
*ICP = 350W // RF = 100W<br />
*Etch Rate = 518.5nm / 1min (2019, [[Demis D. John|Demis]])<br />
*2m30sec to fully remove with ~200% overetch<br />
<br />
==Ru (Ruthenium) Etch (Panasonic 2)==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/e/e9/194_Ru_Etch_O2%2CCl2.pdf Ru Etch] - ''[[Bill Mitchell]] 2019-09-19''<br />
**''This etch is used in the following publication:'' [[Template:Publications#Highly Selective and Vertical Etch of Silicon Dioxide using Ruthenium Films as an Etch Mask|W.J. Mitchell, "Highly Selective and Vertical Etch of Silicon Dioxide using Ruthenium Films as an Etch Mask" (JVST-A, 2021)]]<br />
<br />
=[[ICP-Etch (Unaxis VLR)]]=<br />
==GaAs-AlGaAs Etch (Unaxis VLR)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/4/4e/15-GaAs_etch-Unaxis_ICP_etcher.pdf GaAs Etch Recipe (Cl<sub>2</sub>N<sub>2</sub> 30C)]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/1/1f/14-AlAs-GR-cal_etch-Unaxis_ICP_etcher.pdf AlGaAs Etch Recipe (Cl<sub>2</sub>N<sub>2</sub> 30C)]<br />
<br />
==InP-InGaAs-InAlAs Etch (Unaxis VLR)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/9/90/18-InP-based_etching-Cl2N2Ar.pdf InP-based Material Etch Profile (Cl<sub>2</sub>N<sub>2</sub>Ar200C)]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/a/ad/17-InP%26InGaAs_etch-Cl2H2Ar-Unaxis-VLR.pdf InP-InGaAs Etch Profile (Cl<sub>2</sub>H<sub>2</sub>Ar 200C)]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/6/6e/SiO2-Mask_Etch_Recipe_for_Unaxis_Cl2_Etch.pdf Recipe of Etching SiO<sub>2</sub> Mask for Cl<sub>2</sub> Etch (ICP#2)]<br />
*[[InP Etch Test Result in Details|InP Etch Historical Data (Cl<sub>2</sub>H<sub>2</sub>Ar 200C)]]<br />
*[[InP Etch Rate and Selectivity (InP/SiO2)|InP Etch Test]]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/a/ac/Lower-Etch-Rate_InP_Etch_using_Unaxis_PM1_tool_at_200_C.pdf Lower etch-rate InP Etch (Cl<sub>2</sub>N<sub>2</sub> 200C)]<br />
<br />
==GaN Etch (Unaxis VLR)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/d/df/09-Plasma_Etching_of_GaN-UnaxisPM1.pdf GaN Etch Recipe (Cl<sub>2</sub>BCl<sub>3</sub>N<sub>2</sub>Ar 85C)]<br />
<br />
==GaSb Etch (Unaxis VLR)==<br />
<br />
= [[Oxford ICP Etcher (PlasmaPro 100 Cobra)]] =<br />
<br />
== InP Ridge Etch (Oxford ICP Etcher) ==<br />
InP etches were characterized with '''no''' mounting adhesive used, 1/4-wafer of 50mm wafer placed on Silicon carriers (rough side up).<br />
<br />
=== Low-Temp (60°C) Process ===<br />
<br />
* [[Low-Temp InP Ridge Etch Characterization]] - ''To Be Added''<br />
<br />
=== High-Temp (200°C) Process ===<br />
<br />
* [[High-Temp InP Ridge Etch Characterization]] - ''To Be Added''<br />
<br />
== InP Grating Etch (Oxford ICP Etcher) ==<br />
InP/InGaAsP etches were characterized with '''no''' mounting adhesive used, 1/4-wafer of 50mm wafer placed on Silicon carriers (rough side up).<br />
<br />
* [[Low-Temp InP Ridge Etch Characterization|InP/InGaAsP Grating Etch Characterization]] - ''To Be Added''<br />
<br />
=[[Si Deep RIE (PlasmaTherm/Bosch Etch)]]=<br />
'''This tool does not exist in this configuration any more, so these recipes are for Reference purposes Only!!!'''<br />
The machine was upgraded to be the new Plasma-Therm Fluorine ICP Etcher - the chamber configuration is now different, making these recipes invalid.<br />
For Deep Silicon Etching, the Plasma-Therm DSE-iii is often used. Some single-step Silicon etching is still performed on the SLR Fluorine ICP, due to the slower etch rate.<br />
<br />
==Bosch and Release Etch (Si Deep RIE)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/0/02/10-Si_Etch_Bosch_Release_DRIE.pdf Bosch and Release Processes]<br />
**Ideal for deep (>>1µm), vertical etching of Silicon. Through-wafer etches are possible (requires carrier wafer).<br />
**Etch rate depends on area of exposed silicon being etched.<br />
**Al<sub>2</sub>O<sub>3</sub> mask (ALD or Sputter) has >9000:1 selectivity<br />
**SiO<sub>2</sub> (PECVD) mask has ~100:1 selectivity<br />
**Thermal SiO<sub>2</sub> has ~300:1 selectivity.<br />
<br />
==Single-step Si Etching (not Bosch Process!) (Si Deep RIE)==<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/d/d2/10-Si_Etch_using_DRIE_%28single-step%29.pdf Single-step Si Vertical Etch Recipe - SF<sub>6</sub>-C<sub>4</sub>F<sub>8</sub>-Ar]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Stepper_3_(ASML_DUV)&diff=159192Stepper 3 (ASML DUV)2021-09-04T19:52:25Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ASML.jpg<br />
|type = Lithography<br />
|super= Demis D. John<br />
|location=Bay 7<br />
|description = Deep-UV Stepper Photolithography<br />
|model = PAS 5500/300<br />
|manufacturer = [http://www.asml.com ASML]<br />
|ToolType = Lithography<br />
|recipe = Lithography<br />
|materials =<br />
|toolid=51 <br />
}} <br />
<br />
==About==<br />
<br />
The ASML 5500 stepper is a 248nm DUV stepper for imaging dense features down to below 200nm and isolated line structures down to below 150nm. Overlay accuracy is better than 30nm. <br />
<br />
The system is configured for 4” wafers and, with staff support, mounted pieces down to 14mm in size can be exposed using a 4” wafer as a carrier. The system is designed for high throughput, so shooting multiple 4" wafers is extremely fast. Additionally, exposure jobs are highly programmable, allowing for very flexible exposures of multiple aligned patterns from multiple masks in a single session, allowing for process optimization of large vs. small features in a single lithography.<br />
<br />
The full field useable exposure area is limited to the intersection of a 31mm diameter circle and a rectangle of dimensions 22mm x 27mm. See the [[ASML 5500 Mask Making Guidelines|Mask Making Guidelines page]] for more info on exposure field sizes and how to order your mask plates. <br />
<br />
Resists Used (see [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Photolithography_Recipes PhotoLith. Recipes] for full process info):<br />
<br />
*UV210-0.3 - Positive: 300nm nominal thickness<br />
*UV6-0.8 - Positive: 800nm nominal thickness<br />
*UV26-2.5 - Positive: 2.5um nominal thickness<br />
*UVN2300-0.5 - Negative: 500nm nominal thickness<br />
<br />
*DUV42P-6/DS-K101 - Bottom Anti-Reflective Coatings “BARC”<br />
*PMGI/LOL1000/LOL2000 - Underlayers<br />
<br />
AZ300MIF Developer for all processes<br />
<br />
==Process Information==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Photolithography_Recipes '''Process Recipes Page'''] '''> "Stepper 3"''' - ''Established recipes and corresponding linewidths, photoresists etc.''<br />
*Sample size: 100 mm wafers with SEMI std. major flat<br />
**''Piece-parts process is possible but difficult - contact staff for info''<br />
*Alignment Accuracy: < 50 nm<br />
*Minimum Feature Size: ≤150 nm isolated lines, ≤200 nm dense patterns<br />
**''To achieve ≤200nm features with high uniformity, we recommend wafers with total thickness variation (TTV) ≤5µm, and designing your CAD with a smaller Image Size for the high-res. feature''.<br />
*Maximum Wafer Bow: approx. 100 µm. (4-inch diam.) <br />
**''Near this value, and the job may fail or lose the wafer inside the machine due to wafer vacuum error.''<br />
<br />
==Operating Procedures==<br />
<br />
*[[ASML Stepper 3 Standard Operating Procedure|Standard Operating Procedures]] - ''Exposing wafers, loading reticles, focus/exposure matrix''<br />
**[[ASML Stepper 3 Standard Operating Procedure#Running a focus and.2For exposure matrix|Focus-Exposure Matrix]] - ''used for'' ''calibrating sensitive exposure parameters''<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/f/f7/ASML_Job_Set-Up_Guide_v2.pdf Job Programming - Full]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/c/cd/ASML_Job_Set-Up_Guide_simple_v1.pdf Job Programming- Simplified -Full Wafers]<br />
*[[ASML Stepper 3 - Substrates smaller than 100mm/4-inch|Working with Substrates Smaller than 100mm/4-inch]]<br />
<br />
===Troubleshooting and Recovery===<br />
<br />
*[[ASML Stepper 3 Error Recovery, Troubleshooting and Calibration|Error Recovery, Troubleshooting and Calibration]]<br />
**''Common errors/System Warnings, Wafer Handler Reset, System Calibration Verification''<br />
<br />
*[[ASML 5500: Recovering from an Error|ASML 5500: Recovering from an Error/Wafer Retrieval]]<br />
**''How to abort the job and recover your wafer.''<br />
<br />
=== Online Video Trainings ===<br />
''These video trainings have bookmarks to skip to specific sections - use them as reference.''<br />
<br />
''Remember, you are NOT authorized to use the system until a supervisor grants you access.''<br />
* [https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=798e5110-0823-4abd-9458-ac5c01855a99 Part 1: System Info & Running a Job]<br />
* [https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=dc501ba5-1f20-401c-8a96-ac2500f7e81e Part 2: Job Programming]<br />
<br />
===Software Options===<br />
<br />
*[[ASML Stepper 3 - Shifted Measurement Scans (SMS)|Shifted Measurement Scans]] - better tilt/level measurement locations for edge-die. Simply enable the Checkbox in your job file.<br />
*[[ASML Stepper 3 - Compound Image Design (CIDS)|Compound Image Design]] - flexible Image Distribution: grouping of Images with shifts, duplicate instances of Images in each Cell.<br />
*[[ASML Stepper 3 - Job Creator|Job Creator]] - create binary ASML job files from ASCII text files. Python scripting capabilities using this option are currently implemented, see below.<br />
<br />
==Design & Fabrication Tools==<br />
<br />
*[[ASML 5500 Mask Making Guidelines|ASML 5500 Mask Making Guidelines]] - All the info you need to design and order a reticle for this system.<br />
**[[ASML 5500 Mask Making Guidelines#Templates|Templates and CAD help]] - on the above page, CAD files and spreadsheets to help you design/program.<br />
*[https://github.com/demisjohn/ASML_JobCreator ASML Job Creator] - Python scripts for generating ASML Job Files.<br />
**''This new scriptable job programming is in the user-testing phase - contact [[Demis D. John|the supervisor]] if interested.''<br />
*[[ASML Stepper 3 - UCSB Test Reticles|UCSB Test Reticles]] - Alignment Markers, Resolution Testing etc.<br />
<br />
== Recipes ==<br />
See the '''[[Stepper Recipes#Stepper 3 .28ASML DUV.29|Recipes > Lithography > Stepper Recipes > Stepper #3]]''' page for starting processes for various photoresists, including Dose/Focus values.<br />
<br />
Litho. recipes for all our photolith. tools can be found on the [[Lithography Recipes#Photolithography Recipes|Photolithography Recipes]] page.<br />
<br />
==Service Provider==<br />
<br />
*[http://www.asml.com ASML] - ASML performs quarterly periodic maintenance and provides on-demand support.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Probe_Station_%26_Curve_Tracer&diff=159191Probe Station & Curve Tracer2021-09-04T19:52:11Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ProbeStation.jpg<br />
|type = Inspection, Test and Characterization<br />
|super= Demis D. John<br />
|location=Bay 4<br />
|description = Probe Station<br />
|manufacturer = Signa-Tone<br />
|materials = <br />
}} <br />
== About ==<br />
The probe station in the cleanroom is set up for up to four-point probing of two terminal and three terminal devices. The probe station is a Signatone station with a 2” diameter vacuum chuck. Four probes are provided. The measurements can be taken with various electronic test equipment detailed below, including HP multimeters, a Tektronix Curve-Tracer and a Keithley Source-Meter unit (SMU). <br />
<br />
The Curve Tracer & Keithley SMU can be controlled by a computer to get portable data. FETs, HBTs, diodes, and contact resistance measurements are typically done on this system. The 370A curve tracer is capable of pulsed and DC operation.<br />
<br />
The system is commonly used for characterizing contacts metals and anneals and contact resistances, diode I-V curves, in addition to other electrical measurements to determine optimal fabrication conditions.<br />
<br />
[[File:Tektronix 370A curve tracer IMG 5890 - PNG.png|alt=Tektronix 370A Curve Tracer|thumb|300x300px|Tektronix 370A Curve Tracer]]<br />
[[File:Keithley 2400 SMU.png|alt=Keithley 2400 SMU|thumb|300x300px|Keithley 2400 SMU]]<br />
[[File:HP 34401A multimeter.png|alt=HP 34401A multimeter|thumb|300x300px|HP 34401A multimeter]]<br />
[[File:HP 3466A DMM.png|alt=HP 3466A DMM|thumb|300x300px|HP 3466A DMM]]<br />
[[File:BK Precision 1672 DC supply.png|alt=BK Precision 1672 DC supply|thumb|300x300px|BK Precision 1672 DC Supply (Three Output)]]<br />
<br />
==Equipment Specifications==<br />
*4 probe station, reconfigurable electrical connections<br />
**Probe needles available, users may bring their own and install on the manipulators<br />
*2-inch conductive stage with vacuum<br />
*Binocular microscope, low-mag (variable), long working distance.<br />
<br />
==== Installed Equipment ====<br />
*Keithley 2400 Source-Meter unit<br />
**Current/Voltage/Resistance readbacks, along with 4-point-probe measurements.<br />
** Up to 200V, 1.0A<br />
** Computer-Controlled Sweeping and Plotting available<br />
*HP 3466A Digital Multimeter<br />
*HP 34401A Multimeter with 4-point resistivity measurement<br />
*Tektronix 370A computer controlled curve tracer<br />
**Pulsed and DC characterization of 2 and 3 terminal devices<br />
**Computer-Controlled Sweeping and Plotting available<br />
*BK Precision 1672 Triple-Output DC Power Supply<br />
*Windows PC with:<br />
**GPIB Connections to the above electrical testing equipment for automated measurements.<br />
**Labview<br />
***I-V Curve plotting program available (read-only) for Keithley SMU<br />
***Automated program for Tektronix Curve-Tracer<br />
**Python(x,y) <br />
***I-V Curve Plotting script with Keithley 2400<br />
**Matlab<br />
***I-V Curve Plotting script with Keithley 2400<br />
**[https://wiki.nanotech.ucsb.edu/w/index.php?title=Frequently_Asked_Questions#How_do_I_get_my_files_from_the_NanoFab_computers.3F Nanofiles Sync] for getting your measured data from the computer.<br />
<br />
== Operation Procedures & Manuals ==<br />
Generally you should learn how to use a probe station in your lab first, but if you don't have one you can contact [[Tony Bosch|Tony]] or [[Demis D. John|Demis]] for a quick run-down.<br />
* [[Probe Station: I-V Curves with Keithley 2400 and Python Script|I-V Curves with Keithley 2400 and Python Script]]<br />
<br />
=== Equipment Manuals ===<br />
Please search the internet for manufacturer manuals and quick-start guides. Some links are provided here, but these links may break over time:<br />
* [https://download.tek.com/manual/2400S-903-01(E-Sep2011)(QSG).pdf Keithley 2400 - Quick Start Guide]<br />
* [https://download.tek.com/manual/2400S-900-01_K-Sep2011_User.pdf Keithley 2400 - User Manual]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Molecular_Vapor_Deposition&diff=159190Molecular Vapor Deposition2021-09-04T19:52:11Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=MVD.jpg<br />
|type = Vacuum Deposition<br />
|super= Lee Sawyer<br />
|phone=(805) 893-2123<br />
|location=Bay 4<br />
|email=lee_sawyer@ucsb.edu<br />
|description = Molecular Vapor Deposition System<br />
|manufacturer = [http://www.appliedmst.com/ Applied Microstructures Inc.]<br />
|materials = <br />
}}<br />
==About==<br />
The Molecular Vapor deposition system is used for deposition of a monolayer-thick fluorocarbon film for producing extremely hydrophobic surfaces used for anti-sticking layers for nanoimprinting or anti-stiction layers for MEMS. The system has integrated Oxygen plasma cleaning for organic removal and surface activation and can be run at temperatures up to 80°C. Multi-step recipes can be created. The system is currently configured for FDTS (perflourodecyltricholorsilane) and water to producing the coatings. Up to 6” wafers can be coated in the system.<br />
<br />
==Recipes==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Nanoimprinting_Recipes Nanoimprinting recipes] using FDTS non-stick layer<br />
*[https://signupmonkey.ece.ucsb.edu/wiki/images/3/3c/MVD_Standard_Recipes.pdf MVD Standard Recipes]<br />
<br />
==Documentation==<br />
<br />
*[https://signupmonkey.ece.ucsb.edu/wiki/images/f/f4/MVD_SOP.pdf MVD Standard Operating Procedure]<br />
*[[MVD - Wafer Coating - Process Traveler|Wafer Coating - Process Traveler]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Wafer_Bonder_(Logitech_WBS7)&diff=159189Wafer Bonder (Logitech WBS7)2021-09-04T19:51:52Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=Logitech_WSBU-6_Wafer_Bonder_-_photo_-_800px.png<br />
|type = Thermal Processing<br />
|super= Brian Lingg<br />
|phone=(805)839-3918x216<br />
|location=Bay 5<br />
|email=freeborn@ece.ucsb.edu<br />
|description = Wafer Bonder WSB7<br />
|manufacturer = Logitech<br />
}} <br />
== About ==<br />
<br />
This tool is most often used for bonding samples to Silicon carrier wafers with CrystalBond wax. <br />
<br />
This mounting method can be used for <br />
* securing small parts for use on 100mm tools (such as the CMP)<br />
* providing a carrier wafer for through-etching of the sample wafer<br />
* for dicing<br />
* for lithography<br />
A user can place the two wafers to be bonded in contact, with the adhesive in between (such as wax, photoresist etc.). A rubber membrane is lowered on top, creating a small vacuum chamber. The tool can then be programmed to heat the wafers and melt the wax/cure the adhesive, while vacuum is pulled in the chamber, which pulls the rubber membrane down onto the top wafer. This flattens the bond and evacuates bubbles from between the wafers, providing a planar bond.<br />
<br />
We also have recipes for spin-coating the crystalbond wax, allowing for a uniform coating of the adhesive wax.<br />
==Detailed Specifications==<br />
* Substrate Size: 4"-6"<br />
* Temperature Range: 20°C-188°C<br />
<br />
==Operation Procedures==<br />
<br />
== Recipes ==<br />
* Recipes > Packaging Recipes > [https://wiki.nanotech.ucsb.edu/w/index.php?title=Packaging_Recipes#Wafer_Bonder_.28Logitech_WBS7.29 Wafer Bonder (Logitech WBS7)]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=InP_etch_result_in_details&diff=159188InP etch result in details2021-09-04T19:51:46Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>https://wiki.nanotech.ucsb.edu/w/index.php?title=File:InP_Etch_using_Unaxis_PM1_at_200_C-2.pdf</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Wet_Benches&diff=159187Wet Benches2021-09-04T19:51:32Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>In the lab we have a total of 16 wet benches. They are divided into the following 8 types as listed in the following table of contents.<br />
<br />
==General Information==<br />
<br />
*Abandoned glassware will be collected and no returned<br />
*Containers MUST be labeled with Chemical and User/Group name at '''all times'''.<br />
*Another warning about safety gear<br />
*Built-in Hotplate calibrations done weekly<br />
<br />
==Solvent Cleaning Benches==<br />
{{tool|<br />
|name=Solvent Cleaning Benches<br />
|picture=SolventBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bays 5, 6 & 7<br />
|description = Custom Solvent Wet Bench<br />
|manufacturer = Pure Aire Corporation<br />
|materials = <br />
}}<br />
===About===<br />
The facility contains 4 stainless steel solvent benches for general processing using organic solvents. All solvent waste (except for certain chemicals that are collected) are poured into cups and centrally collected. The benches consist of 2 embedded variable power Crest-ultrasonic units, one kept at 70°C for heating resist strippers and the other kept at room temperature for general solvent work. Laminar flow fume hoods with hepa filtration. Nitrogen guns are also on both sides of the bench for drying samples. Digital stirring hotplates are included for heating and stirring of resist stripers only (No solvent heating). POLOS spin dryers are included in the benches. All processes are done in user-supplied glassware. Solvents are stored in large steel flammable materials cabinets. Two people may use the bench at a time and protective gear such as proper gloves must be used. Solvents supplied by the laboratory are: Acetone, Methanol, Isopropanol, MIBK, MEK, Toluene, EBR100. PR strippers supplied by the lab are: 1165 (NMP), AZ300T (NMP + TMAH), PRX-127. Other chemicals should be OK'd by laboratory staff prior to use in the laboratory. <br />
<br />
===Detailed Specifications===<br />
<br />
*2 7" x 10" Crest Ultrasonic Tanks with heating / variable power<br />
*2 Nitrogen guns for sample drying<br />
*Solvent based processing; '''NO SOLVENT HEATING'''<br />
*Organic material removal up to 80°C<br />
*Cyanide-based plating and etching ('''NO ACIDS''' at bench)<br />
*Digital hot plate stirrers<br />
*POLOS rinse dryers<br />
<br />
<br clear="all"><br />
<br />
==Develop Benches==<br />
{{tool|<br />
|name=Develop Benches<br />
|picture=DevelopBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bays 6 & 7<br />
|description = Custom Developer Bench<br />
|manufacturer = Pure Aire Corporation<br />
|materials = <br />
}} <br />
===About===<br />
<br />
The facility contains 3 polypropylene Developing Benches for photolithographic development using TMAH / TEAH / KOH based developers. All is actively neutralized. The benches consist of two sinks with DI water hook ups and industrial water plenum flushes. Laminar flow fume hoods with hepa filtration. Nitrogen guns are also on both sides of the bench for drying samples. Digital hotplates are included for resist post exposure bakes or post develop hard bakes. POLOS spray puddle develop etch systems are included in the benches. All processes are done in user-supplied glassware. Two people may use the bench at a time and protective gear such as proper gloves must be used. Developers supplied by the laboratory include: AZ300MIF (0.26N TMAH developer), MF701 (0.24N TMAH developer), AZ400K (KOH based developer), pre-diluted AZ400K:DI 1:4. Other developers should be OK'd by laboratory staff prior to use in the laboratory. <br />
<br />
===Detailed Specifications===<br />
<br />
*2 Sinks, DI water<br />
*2 Nitrogen guns for sample drying<br />
*'''NO SOLVENTS or STRIPPERS''' in bench<br />
*Class 100<br />
*Hot plates for post bakes<br />
*POLOS Spray-Puddle-Develop-Rinse-Dry systems<br />
<br />
<br clear="all"><br />
<br />
==Spin Coat Benches==<br />
{{tool|{{PAGENAME}}<br />
|name=Spin Coat Benches<br />
|picture=SpinBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bays 6 & 7<br />
|description = Custom Photoresist Spin Coat Bench<br />
|manufacturer = Pure Aire Corporation<br />
|materials = <br />
}} <br />
===About===<br />
<br />
The facility contains 3 stainless steel solvent benches for photoresist spin coating. <br />
<br />
The benches consist each of 2 integrated Headway PWM32 series photoresist spinners. Overhead and foot controls are provided. 8 preprogrammed recipes and 2 user-programmable recipes are offered. Automatic wafer lifting and centering stations are offered for spinning large wafers up to 8” in diameter. <br />
<br />
6” or 8” Cee ultra-flat hotplates with 0.1°C temperature stability are preset for standard resist bake temperatures (90, 95, 100, 105, 110, 115°C). Other user-changeable hot plates are also provided. <br />
<br />
Nitrogen guns are also on both sides of the bench. <br />
<br />
Large resist bottles are stored in a refrigerator amd small user bottles are stored by group in a ventilated steel cabinet. Waste pipets and resist soaked wipes are collected in containers on the fume-hood benches for disposal. <br />
<br />
A [https://signupmonkey.ece.ucsb.edu/w/index.php?title=Lithography_Recipes#Chemicals_Stocked_.2B_Datasheets list of stocked resists] appears on the [[Lithography Recipes|lithography processing]] web page. Other chemicals should be OK'd by laboratory staff prior to use in the laboratory. <br />
<br />
===Detailed Specifications===<br />
<br />
*Hepa filtered laminar flow for Class 100<br />
*2 Headway PWM32 spinners per bench, multi-step programming<br />
**8 preset spin programs, 2 user defineable<br />
**Variety of user-removable wafer chucks for 5 mm x 5 mm pieces to 6” wafers<br />
**Lifters for large wafer centering<br />
**Manual dispense of resist with pipettes; particle filtering available<br />
*Preset 0.1°C stable, ultra-flat hotplates <br />
**Bay 6: 90, 95, 105, 110, 115°C<br />
**Bay 7: 100, 135°C<br />
**Proximity and Vacuum baking possible, optional lid closure<br />
**Temperatures checked monthly, ±1°C. Please notify if temperature is out of spec.<br />
*'''NO WAX''' on hotplates<br />
**Use tin-foil to protect plate before using wax. Wax only allowed on user-variable hotplates.<br />
*Other hotplates for user defined temperatures<br />
<br />
==Toxic Corrosive Benches==<br />
{{tool|<br />
|name=Toxic Corrosive Bench<br />
|picture=ToxicBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bay 5<br />
|description = Custom Acid Wet Bench<br />
|manufacturer = Pure Aire Corporation<br />
|materials = <br />
}} <br />
===About===<br />
The facility contains 2 polypropylene toxic corrosive benches for general wet processing using Acids and Bases. All waste (except for certain chemicals that are collected) are actively neutralized. The benches consist of two sinks with DI water hook ups and industrial water plenum flushes. Laminar flow fume hoods with hepa filtration. Nitrogen guns are also on both sides of the bench for drying samples. Digital stirring hotplates and/or small benchtop ultrasonic units are included for agitation and heating during the process on select benches. POLOS spin rinse dryers or spray puddle etch systems are included in the benches. Custom-built spray etchers (with bench supplied nitrogen) can also be used. Commonly used acids and bases such as H<sub>2</sub>O<sub>2</sub>, NH<sub>4</sub>OH, HCl, H<sub>2</sub>SO<sub>4</sub>, and H<sub>3</sub>PO<sub>4</sub> can be dispensed into glassware using pipets connected to small bottles in the process wells at the back of the bench. All processes are done in user-supplied glassware. Acids and Bases are stored in separate cabinets and collection/disposal policies are posted. Two people may use the bench at a time and protective gear such as proper gloves must be used. Acids supplied by the laboratory are: H<sub>2</sub>SO<sub>4</sub>, HNO<sub>3</sub>, H<sub>3</sub>PO<sub>4</sub>, 1.0 M Citric Acid, Acetic Acid, HCl, Chrome mask etchant, Aluminum Etchants A and D, Gold Etchant (TFA), and Nickel Etchant (TFB). Bases supplied by the lab are: NH<sub>4</sub>OH, NaOH, KOH, and H<sub>2</sub>O<sub>2</sub>. Other chemicals should be OK'd by laboratory staff prior to use in the laboratory.<br />
<br />
===Detailed Specifications===<br />
<br />
*2 Sinks, DI water<br />
*2 Nitrogen guns for sample drying<br />
*Digital hot-plate stirrers<br />
*Ultrasonic Baths<br />
*'''NO SOLVENTS '''<br />
*HF & TMAH NOT permitted at these benches<br />
*POLOS Spray-Spin-Rinse systems<br />
*Hepa Filtered Laminar Flow Hoods<br />
<br />
<br clear="all"><br />
==Wafer Toxic Corrosive Benches==<br />
{{tool|<br />
|name=Wafer Toxic Corrosive Bench<br />
|picture=WaferToxicBench.jpg<br />
|type = Wet Processing<br />
|super= Aidan Hopkins<br />
|location=Bay 4<br />
|description = ?<br />
|manufacturer = ?<br />
|materials = <br />
}} <br />
===About===<br />
This bench has vertical baths for processing multiple wafers at a time, and is situated opposite the [[Tube Furnace (Tystar 8300)|Tystar Thermal Oxidation furnaces]] in order to perform the necessary cleaning of Silicon wafers prior to oxidation.<br />
<br />
Please contact the supervisor for training on this bench, which includes use of the heater controllers, bubblers etc.<br />
<br />
[[Spin Rinse Dryer (SemiTool)|Spin-Rinse Dryers]] for automated DI water rinse and N2 Dry of full cassettes are located adjacent to this bench.<br />
<br />
===Detailed Specifications===<br />
<br />
*HF Bath, vertical<br />
*NanoStrip bath, Vertical<br />
**Heated Bath, typically 70°C during use.<br />
*Quick-Dump-Rinse (QDR) bath, vertical<br />
**Rapid DI water rinse of multiple wafers<br />
*KOH bath, vertical<br />
**Heated, Typically ~80-90°C during Silicon etching.<br />
**Covered for water recapture<br />
**N2 Bubbler for improved etch uniformity<br />
**Up to 9 wafers at a time<br />
*TMAH Bath, Vertical<br />
*Numerous wafer holders and custom cassette sizes stored at the bench for general use.<br clear="all"><br />
<br />
==HF/TMAH Processing Bench==<br />
{{tool|<br />
|name=HF/TMAH Processing Bench<br />
|picture=HFBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bay 5<br />
|description = ?<br />
|manufacturer = Pure Aire Corporation<br />
}}<br />
'''NOTE: Proper safety gear must be worn at all times when using the Acid Benches. For the HF benches this includes an apron and face shield. Failure to abide by this rule will result in immediate suspension from the lab. NO WARNINGS. PERIOD.'''<br />
===About===<br />
<br />
===Detailed Specifications===<br />
<br />
*2 Sinks, DI water<br />
*2 Nitrogen guns for sample drying<br />
*Digital hot-plate stirrers<br />
*Ultrasonic Baths<br />
*'''NO SOLVENTS '''<br />
*HF Processing limited to these two benches<br />
*POLOS Spray-Spin-Rinse systems<br />
*Hepa Filtered Laminar Flow Hoods<br />
<br />
<br clear="all"><br />
<br />
==Plating Bench==<br />
{{tool|<br />
|name=Plating Bench<br />
|picture=PlatingBench.jpg<br />
|type = Wet Processing<br />
|super= Mike Day<br />
|location=Bay 5<br />
|description = ?<br />
|manufacturer = Pure Aire Corporation<br />
|materials = <br />
}}<br />
===About===<br />
Custom plating may be performed at this bench. Please contact staff for more info and for allowed chemicals.<br />
<br />
===Detailed Specifications===<br />
<br />
''To Be Added''<br clear="all"><br />
<br />
== Automated Wet-processing Spinners (POLOS) ==<br />
<br />
=== General Information ===<br />
The POLOS spinners, installed on various Solvent, Acid/Base and Develop benches, allow for wafer spinning while applying wet chemistry. Users may program the spinners with custom programs. <br />
<br />
=== Develop Bench POLOS Spinners ===<br />
These POLOS spinners are hooked up to pressurized vessels for developer chemicals, and have closed lids with nozzles for fluid/nitrogen delivery. This enables automated spray/puddle developing. In addition, users can spin-drying after manual development. - especially helpful for larger wafers (eg. 100mm) which are more difficult to dry by hand.<br />
<br />
=== Solvent Bench POLOS Spinners ===<br />
These spinners are uncovered, allowing users to use our solvent squirt bottles & nitrogen guns for cleaning samples while spinning, which improves wafer cleanliness considerably. Several non-contact chucks for 100mm and 150mm wafers are available, which makes solvent cleaning the underside of these wafers especially useful and effective, without affecting any top-side photoresist, lithography or patterning.<br />
<br />
=== Acid/Base/HF Bench POLOS Spinners ===<br />
''To be Added''</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Frequently_Asked_Questions&diff=159186Frequently Asked Questions2021-09-04T19:51:24Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>'''Email [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]''' to contact all the NanoFab staff – one of us will help you as soon as we can!<br />
<br />
__TOC__ <!-- force table of contents to show --><br />
<br />
==General NanoFab Questions==<br />
<br />
===Who do I contact for an equipment problem or general NanoFab problem?===<br />
For ''general'' Nanofab issues, please contact the [[Brian Thibeault|Lab Director (click for contact info)]] by email or phone.<br />
<br />
It's '''much''' better to quickly let us know of a mistake or problem proactively, and let us help you fix it!<br />
<br />
====Emergencies====<br />
For immediate or health-related emergencies, call 9-911 from any of the phones in the lab, or 911 from your cell phone. Let them know that you are in '''"Engineering Sciences Building #225"''' at '''"Room #1102 - Gowning Room"'''.<br />
<br />
====Specific Equipment Problem====<br />
Always notify maintainers '''''immediately''''' of any unusual problem that may affect other users, and also <u>record it in the logbook</u>! If a tool is down, please place a note at the tool and notify users that have upcoming reservations using the "'''Report Tool Issue'''" button on signupmonkey if possible.<br />
<br />
#If you are having trouble with a piece of equipment, please contact the supervisor of that tool '''first'''. The supervisor's contact information can be found posted on the walls above/behind the equipment, on the [[Tool List|tool page]] and on the tool's SignupMonkey page. <br />
##If you need immediate assistance ''during business hours'', you may call/text the tool supervisor's cell phone number, otherwise use their office phone or email.<br />
#If the engineer is not available, please go to the tool's signup page on [https://signupmonkey.ece.ucsb.edu SignupMonkey] and click the "'''<u>Report a Tool Issue</u>'''" button. This emails all Nanofab Staff ''and'' all users with future reservations. This is the preferred method for getting help if the supervisor is not immediately available.<br />
#If the tool is not on SignupMonkey, '''email the NanoFab Staff at [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]'''. This emails all staff, so anyone available can help you.<br />
<br />
====Contact all NanoFab Staff by Email====<br />
The entire NanoFab staff can be emailed at [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]. This allows any staff member who is able to help to respond in a timely manner. Any problem for which you are unsure who to contact, send it to this email address. <br />
<br />
====NanoFab On-Call Staff====<br />
For after-hours and weekend emergency situations, please call '''(805) 451-0509''' to contact the staff member that is on-call. This is for major issues/emergencies that will affect many users, such as alarms, leaks, wet bench, fume hood issues etc. Please do not call this number for problems with a single process tool that only affects a few users, instead see above.<br />
<br />
===Publications acknowledging the Nanofab===<br />
If you publish on devices or work done using the NanoFab, please add an acknowledgement or line of text stating the following (or similar):<blockquote>''A portion of this work was performed in the UCSB Nanofabrication Facility, an open access laboratory.''</blockquote>This is important for the continued success of the Nanofabrication Facility. This applies to local UCSB researchers as well.<br />
<br />
====Authorship on Publications====<br />
If a UCSB staff member contributed significant research & development effort (including thinking through fabrication methods), or if you include their data/images in your paper, especially if novel work was developed, you should seriously consider '''adding them as an author'''. (While also retaining the above Acknowledgement.) Many journals require '''all''' contributing authors to be cited. Analysis code authored by staff [https://academia.stackexchange.com/questions/14010/how-do-you-cite-a-github-repository can be cited] if it is on a public repository/online location. <br />
<br />
Even for repeat processes performed by our staff, it may be appropriate to include the UCSB Staff member that performed the fabrication. This is research and development, not a guaranteed of-the-shelf product, and every run requires staff experience to produce good results for your research. <br />
<br />
You can specify that the staff member only performed fabrication and process development. <br />
<br />
Process knowledge gained from conversations, advice and brainstorming sessions may constitute significant "intellectual contribution", or be worthy of an acknowledgement. <br />
<br />
Feel free to [[Brian Thibeault|contact us]] if you would like to discuss any of these points, and thank you for understanding.<br />
<br />
===Bring a new chemical/material into the lab===<br />
If you need to bring a new chemical/material into the lab, you '''must ask before doing so'''! <br />
<br />
Technically, this includes '''unusual substrate materials'''. We need to make sure we know how to handle, store and dispose of the material, and confirm compatibility/safety.<br />
<br />
Here's what you do:<br />
<br />
#Check to see if we stock something similar in our [[Chemical List]]. If it's listed there then we have it and you just have to locate it for use. [[Luis Zuzunaga|Luis]] can help you with this.<br />
#Contact [[Brian Thibeault]] and let him know that you will be using this chemical (even if it was previously in the lab), to make sure we are ready for it.<br />
##Check to see if we have an MSDS already - if so, we have previously allowed this in the lab: [[Chemical List]]<br />
#Make sure you have researched/understand the proper handling and use of this chemical - '''that is YOUR responsibility!'''<br />
#*See the Lab Rules section about this: [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lab_Rules#Chemicals_in_the_Nanofab Lab Rules: Chemicals in the Nanofab]<br />
<br />
===Can I take supplies from the Nanofab?===<br />
Generally '''NO'''! The napkins, tote boxes, bottles, flashlights, tools and all other supplies are '''nanofab property!''' You are NOT allowed to take any of our supplies to your lab without asking us first. Please do ask staff if there is some supply you'd like to borrow or take, we are usually happy to help.<br />
<br />
====Where do I get these supplies for my lab?====<br />
For UCSB users, the [https://www.physics.ucsb.edu/resources/materialsmanagement/storeroom Physics] and [https://www.chem.ucsb.edu/facilities-services#storerooms Chemistry] store rooms sell many common supplies we use, including tweezers, beakers/dishes etc. Otherwise you can search any standard supplier for what you need (Thermo-Fischer Scientific, Mcmaster-Carr, Entegris). Just ask NanoFab staff if you need something quickly and we can probably help you, or pass the charge on to your group.<br />
<br />
==Computer/Tech Questions==<br />
<br />
===How do I get my files from the NanoFab computers?===<br />
USB ports are disabled on most NanoFab computers to prevent the spread of viruses.<br />
<br />
Instead, you can download your files from our '''"Nanofiles" SFTP''' server. As long as you place your files into the proper directory on the tool computer, your files will be synced to the NanoFiles STFP server every hour (or immediately, by running the "''Sync to NanoFiles''" script). You must save into a folder that is named according to your research group/company's name. <br />
<br />
Note that the first time you create a folder for your group on a tool, it will take 24hrs for that tool to show up in your SFTP sessions, and thereafter it will sync every hour. <br />
<br />
For further information on how to access these files, where to place your files and login credentials, please<br />
<br />
*Log into [https://signupmonkey.ece.ucsb.edu SignupMonkey]<br />
*Click the '''Files > [https://signupmonkey.ece.ucsb.edu/cgi-bin/users/get-nanofiles.cgi <u>Request Login Credentials</u>]''' link.<br />
*The email you receive will give you instructions on accessing/syncing to the NanoFiles server, including <u>acceptable folder names</u> you can use on the tool computers.<br />
*You can re-send this email any time by clicking the link on SignupMonkey.<br />
<br />
====I can't connect to the Nanofiles FTP server!====<br />
'''Symptom''': Your SFTP client is suddenly unable to connect to the nanofiles server, with an error like "''Network Error: Connection Refused''". This may also affect other people on the same network as you (who share the same external IP address.)<br />
<br />
'''Cause:'''<br />
<br />
There are two ways your IP address can be automatically blocked:<br />
<br />
#You use the wrong password a few times, or<br />
#Your FTP program tries to make too many connections, so fast that it looks like a [https://en.wikipedia.org/wiki/Denial-of-service_attack DDOS attack] and you get blocked. This may happen when you try to download many files at once.<br />
<br />
'''Solutions''':<br />
<br />
Email [mailto:nanofabadmin@ece.ucsb.edu nanofabadmin] and request that you be unblocked. To prevent this going forward, here are some tips:<br />
<br />
#Many FTP clients have the option to use "''multiple connections''" or "''simultaneous downloads".'' Set it to use '''maximum 3 connections''' (which means that you can Browse the folders while downloading two files). Turn off any options that look like they might open more than one connection to the server.<br />
##See these links for limiting the number of connections for [https://support.hostgator.com/articles/how-to-limit-the-number-of-simultaneous-connections-in-filezilla Filezilla], [https://winscp.net/eng/docs/transfer_queue WinSCP], [https://blog.cyberduck.io/2015/04/17/multiple-connections-for-file-transfers/ CyberDuck].<br />
##'''''WinSCP''''', in particular (and maybe Filezilla), '''DEFAULTS''' to use an <u>unlimited number of connections</u> - so the first time you try to download many files at the same time <u>you will likely get blocked</u>. See the above links to prevent that.<br />
##'''CyberDuck''' has a setting for "multiple connections for each download" - make sure to disable this.<br />
#Save your password on your computer with a password manager.<br />
##Your FTP client may also be set to try many "''reconnection attempts''" very fast. If the saved password is wrong, it will quickly reach the limit of authentication failures, and block your IP. Set your FTP client to never retry on failure, just in case it is due to a bad password.<br />
<br />
===Wifi Access in the Nanofab===<br />
The Wifi networks "'''''UCSB Secure'''''" (preferred) and "'''''UCSB Wireless'''''" (will ask for login every time) and "'''''eduroam'''''" (other educational institutions) have full coverage in the NanoFab. All UC students/employees can use their NetID's to access these networks. External institutions can request a login from [[Brian Thibeault]].<br />
<br />
====Cell Phone Calls====<br />
Verizon Wireless has three cellular repeaters installed in the cleanroom, available via UCSB agreements with specific vendors.<br />
<br />
Cellular coverage is still not very good in some parts of the lab. However, Wifi Calling (aka. [https://en.wikipedia.org/wiki/Voice_over_IP VoIP]) works very well if your device is connected to one of the aforementioned Wifi (not cellular) networks. You can set your smartphones to make voice calls over Wifi, as long as your cellular carrier supports this. Then when you initiate the call from a region you intend to work from, your device should automatically choose Wifi calling if it has the best coverage. Calling through your computer (eg. online teleconferencing) will also utilize the Wifi network rather than cellular.<br />
<br />
"Wifi calling" is often not enabled by default, enable it as follows:<br />
<br />
*[https://support.apple.com/en-us/HT203032 Apple iPhone/iOS Wifi Calling setup instructions]<br />
*[https://support.google.com/voice/answer/7649189?co=GENIE.Platform%3DAndroid&hl=en Google Android OS Wifi Calling setup instructions]<br />
<br />
==== Forcing Calls to use Wifi (not Cellular) ====<br />
* Turn on "Airplane Mode" to disable the main cellular antennas.<br />
* While still in Airplane Mode, enable Wifi, and Bluetooth if using BT headphones.<br />
** Connect to the UCSB Wifi Network, and BT devices if desired.<br />
* Place your call as usual - if WiFi Calling is enabled, the call will use Wifi.<br />
** This applies to using internet calls as well, like WhatsApp, Skype, Facetime, Zoom etc. - your phone ''may'' try to use the cellular connection for internet unless you disable it.<br />
<br />
===Can I add equipment reservations to my phone/computer/online calendar?===<br />
<br />
*Yes, log into SignupMonkey, and on the "Future Reservations" column on the right, click on the "iCalendar" link. This links to a ".ics" file that lists all your upcoming equipment reservations. Open this file to add the reservations to your calendar. [[File:SignupMonkey - Add to Calendar - Screen Shot 2017-11-30 at 3.55.11 PM.png|alt=Image showing the iCalendar ".ics" file link on SignupMonkey|none|frame|The "iCalendar" (.ics) file link on SignupMonkey]]Unfortunately deleted reservations will Not be removed from your calendar, because of the difficulty with CalDAV programming. I recommend having a separate calendar that you save your reservations onto.<br />
<br />
====Programatically Accessing Reservation Data====<br />
<br />
*To get a URL for accessing reservation data using a program, you can simply copy the URL to the *.ics iCal file mentioned above. This URL contains encrypted login info, so returns the *.ics file without requiring the GUI login form. You can get such a URL of your own future reservations as mentioned above. You can also get a custom search (for example all the future/past reservations from your research group) from the "''Search''" section of Signup Monkey. The results page of any search includes a link at the top for the corresponding iCal file - copy that URL to use in your program/scripts.<br />
<br />
==Processing/Fabrication Questions==<br />
<br />
===I need help using a tool, where do I get help?===<br />
There are so many little details to remember in the cleanroom, we all sometimes forget something or need a reminder. When in doubt, ask for help!<br />
<br />
*First, check to see if printed instructions are found at the tool itself.<br />
*Second, check the Wiki page for that tool - many of our instruction sets and user manuals are posted on the [[Tool List|Tool page]] in the Equipment section (see the left side bar).<br />
*Third, during business hours (eg. 7am-5pm) you can contact the tool maintainer. Contact info is posted on the glass walls above each tool.<br />
*Fourth (maybe first) option, look for an experienced user in the lab who might be able to help. Our users are typically very collaborative, knowledgeable and helpful! A senior member of your group is always a good option.<br />
<br />
====User Manuals====<br />
You can find user manuals for many of our tools, and software. Often times these are either <br />
<br />
*Printed at the tool itself<br />
*In a PDF on the desktop of the tool's computer<br />
*On the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Frequently_Asked_Questions#How_do_I_get_my_files_from_the_NanoFab_computers.3F Nanofiles FTP] server in the "Manuals" folder. For example, complex analysis software such as the [[Ellipsometer (Woollam)|Ellipsometer]] and [[Atomic Force Microscope (Bruker ICON)|AFM]] manuals are available on Nanofiles FTP.<br />
<br />
===Where do I find a recipe for a process (litho/etch/dep etc.)?===<br />
The "''Recipes and Data''" section on the left sidebar lists the different categories of recipes. In those pages, you can see whether a recipe exists for your process, and on which tool. We often include some basic characterization data on the recipes, but you should note that these are approximate only. '''''If you have tight tolerances, you need to characterize the recipe yourself''''' (ie. figure out deposition/etch rate, selectivity, verticality etc.).<br />
<br />
For some recipes (etches in particular), we often post the parameter variations/recipe characterizations to show you how different recipe variables affect the etch. If you find that a provided recipe isn't exactly what you need, you can use this information to modify a copy of the recipe to suit your own needs. Please refer to the tool owner for creating your own recipes on each tool, especially for those that have a limited number of saved recipe slots.<br />
<br />
Many datasheets provide very usedul process info. For example, the datasheets for lithography photoresists/developers and solvents usually show spin curves (thickness vs. spin speed), exposure dose, bake times, resist profile vs. reflow temp etc.<br />
<br />
You can find photolithography datasheets on the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Chemical_Datasheets Chemical Datasheets] section of the Lithography Recipes page.<br />
<br />
===A recipe is indicated as "A", Available, where do I find info on this recipe?===<br />
If a recipe is marked as "A", ''Available'' on one of the recipe tables, this usually means that a graduate student has done this process at some point, but the NanoFab hasn't necessarily verified the process nor has the exact recipe and characterization. Contact anyone in the {{HLink|Staff List|Process Group}} to get in contact with the research group that developed the recipe.<br />
<br />
==Wiki Questions==<br />
NanoFab users are highly encouraged to contribute their own work, characterizations and corrections to our Wiki! Read on to learn how to do this.<br />
<br />
===How do I get a login to the wiki?===<br />
If you have an active [https://signupmonkey.ece.ucsb.edu/ SignupMonkey] login, then you can create a Wiki login yourself.<br />
* Just click the "[https://wiki.nanotech.ucsb.edu/w/index.php?title=Special:CreateAccount Create an Account]" link in the corner of the page, <br />
* Fill in your info, making sure you '''''use the same email address you use for SignupMonkey.'''''<br />
* Then click the link in the confirmation email you receive. <br />
* You will then be able to log in and edit many of the wiki pages. We encourage you to make updates or add your processes!<br />
Contact the [[Wiki Admin]] if you need help.<br />
<br />
===How do I edit a page?===<br />
First, you need to login by clicking the “Log In” link in the top-right corner of the page. <br />
<br />
Then you simply click ''[Edit]'' on a page you wish to change. The ''[Edit]'' option will only be available for pages that you are authorized to change, which does not include all pages.<br />
<br />
''[Edit]'' brings up the ''Visual Editor'', which allows you to type directly into a page without editing any code, like using a regular word processing program. The Visual Editor is the recommended method. Alternatively, ''[Edit Source]'' will show you the wiki markup code for the page, which is also an acceptable way to edit a page but much less intuitive.<br />
<br />
Please see the [[Editing_Tutorials|Editing Tutorials]] for more information on editing a page.<br />
<br />
Note that NanoFab users are only allowed to edit certain pages, and have some restrictions on their accounts for security purposes. However we do encourage all updates and changes, so please contact the [[Wiki Admin]] if you need help, such as making a new page, uploading images etc. The giant materials/recipe tables are only editable by Admins, so let us know if you have a new recipe/material to add!<br />
<br />
==="''Something went wrong''" while saving my edits! How do I keep my edits?===<br />
Sometimes the server will log you out after a long time, even while you are still editing a page! <br />
<br />
Then when you try to save the page you might get an error like "'''''Something Went Wrong: We could not save your edits because the session is no longer valid...'''''".<br />
<br />
If this happens, DO NOT CLOSE your edited page or you may lose your edits! Instead do the following:<br />
<br />
#Hit "Resume editing" on the edited page, to close the "Save Page" dialogue.<br />
#Open a NEW web browser window,<br />
#Go to the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Special:UserLogin NanoFab wiki] in that new window,<br />
#[https://wiki.nanotech.ucsb.edu/w/index.php?title=Special:UserLogin Login to the wiki] on that window (you can click the link to go to the Login page directly)<br />
#On your edited page, Click "'''Save Page'''" again, and after dismissing the error click "'''Try Again'''". You may need to press '''Try Again''' a few times until it figures out that you have logged in, and it will then save your edits.<br />
<br />
===What do I do if I find an error on the wiki?===<br />
If you locate a mistake, error or typo, log in and make the change yourself. Staff will see your edits and approve them.<br />
<br />
If you don't have edit permission, let [mailto:demis@ucsb.edu Demis] know about the change you wish to make.<br />
<br />
===Why aren't my edits showing up?===<br />
While lab users are allowed to edit the wiki, all those edits will be approved by a staff member before going live.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=YES-150C-Various-Resists&diff=159185YES-150C-Various-Resists2021-09-04T19:51:14Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>Pressure drop indicates completion of etch. <br />
<br />
Once pressure flattens etching is complete. <br />
<br />
There is uncertainty in the exact completion time, somewhere between the peak pressure and the point where it goes flat. Using known PR thicknesses (spun at standard spin speeds - see the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#PositivePR datasheets]) you can estimate etch rate from these plots. <br />
<br />
[[File:YES150CResists.jpg|660x660px]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Wet_Etching_Recipes&diff=159184Wet Etching Recipes2021-09-04T19:51:13Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>'''See the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Wet_Etching_Recipes#The_Master_Table_of_Wet_Etching_.28Include_All_Materials.29 Master Wet Etching Table]''' at the bottom of this page for wet-etch rates in various experiments we have tested.<br />
<br />
== Chemicals Available ==<br />
* [[Chemical List|'''The Chemical Lists''']] show stocked chemicals, photolithography chemicals, and how to bring new chemicals.<br />
<br />
== References ==<br />
# [http://ieeexplore.ieee.org/abstract/document/546406/ Etch rates for Micromachining Processing (IEEE Jnl. MEMS, 1996)] - includes tables of etch rates of numerous metals vs. various wet and dry etchants.<br />
# [http://ieeexplore.ieee.org/abstract/document/1257354/ Etch rates for micromachining-Part II (IEEE Jnl. MEMS, 2003)] - expanded tables containing resists, dielectrics, metals and semiconductors vs. many wet etch chemicals.<br />
# [http://www.sciencedirect.com/science/article/pii/S0927796X00000279 Guide to references on III±V semiconductor chemical etching] - exhaustive list of wet etchants for etching various semiconductors, including selective etches.<br />
# [http://transene.com/etch-compatibility/ Transene's Chemical Compatibility Chart] provides a useful quick-reference for which Transene etchants attack which materials.<br />
## As a side-note, [http://transene.com/ Transene] provides many pre-mixed solutions that you can order, saving you the time and uncertainty of measuring/mixing such chemicals yourself. Make sure you check with us before ordering so we know how to handle the chemical before it arrives.<br />
<br />
== Compound Semiconductor Etching ==<br />
[http://www.sciencedirect.com/science/article/pii/S0927796X00000279 Guide to references on III±V semiconductor chemical etching] <br />
<br />
Please add any confirmed etches from this reference to the {{HLink|Wet Etching Recipes|The Master Table of Wet Etching (Include All Materials)}}.<br />
<br />
==Metal Etching==<br />
*[//wiki.nanotech.ucsb.edu/w/images/c/c3/Ta_and_Cr_E-beam_deposition_and_wet_etch_test.pdf Selective Wet Etch of Cr over Ta using Cr Etchant]<br />
*[//wiki.nanotech.ucsb.edu/w/images/d/dc/ITO_Deposition-250C-Ebeam2-HCl-Wet-Etch.pdf Wet Etch of ITO using Heated, Diluted HCl Solution]<br />
<br />
==Silicon etching==<br />
[http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=546406 Etch rates for micromachining processing] <br />
<br />
[http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1257354 Etch rates for micromachining processing-part II]<br />
<br />
Please add any confirmed etches from this reference to the {{HLink|Wet Etching Recipes|The Master Table of Wet Etching (Include All Materials)}}.<br />
<br />
==Organic removal==<br />
* Piranha Solution. '''Careful!''' Read about how to prepare and handle this safely:<br />
** [http://web.mit.edu/cortiz/www/PiranhaSafety.doc MIT's Piranha Solution safety document]<br />
** [https://www.ehs.harvard.edu/sites/default/files/lab_safety_guideline_piranha_etch.pdf Harvard EHS's Handling Document]<br />
<br />
==Gold Plating==<br />
<br />
==[[Chemical-Mechanical Polisher (Logitech)|Chemi-Mechanical Polishing (CMP)]]==<br />
<br />
== [[Mechanical Polisher (Allied)|Mechanical Polishing (Allied)]] ==<br />
<br />
==Adding a new entry to the Table==<br />
When entering a new etch into the table:<br />
* Make a row for every etchant used in the solution such that the information can be sorted by etchant. For example, the InP etch HCl:H3PO4(1:3) and H3PO4:HCl(3:1). <br />
* Likewise, if etch is known to be selective to multiple materials the etch should have a row for each material. For example HCl:H3PO4(1:3) is selective to both InGaAs and InGaAsP. <br />
This multiple entry method may seem laborious for the person entering a new etch, however the power of sorting by selective materials and chemicals in a table with all materials is great.<br />
<br />
{| class="wikitable sortable"<br />
|-<br />
! Material !! Etchant !! Rate (nm/min) !! Anisotropy !! Selective to !! Selectivity !! Ref. !! Notes !! Confirmed by !! Extra column<br />
|-<br />
| InP|| HCl:H3PO4(1:3)|| ~1000 || Highly || InGaAs || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || Example || Jon Doe || Example<br />
|-<br />
| InP|| HCl:H3PO4(1:3)|| ~1000 || Highly || InGaAsP || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || Example || Jon Doe || Example<br />
|-<br />
| InP|| H3PO4:HCl(3:1)|| ~1000 || Highly || InGaAs || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || Example || Jon Doe || Example<br />
|-<br />
| InP|| H3PO4:HCl(3:1)|| ~1000 || Highly || InGaAsP || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || Example || Jon Doe || Example<br />
|}<br />
<br />
==The Master Table of Wet Etching (Include '''All''' Materials)==<br />
''Use the ↑ ↓ Arrows in the header row to sort the entire table by material, selectivity, etchant etc.''<br />
{| class="wikitable sortable"<br />
|-<br />
! Material !! Etchant !! Rate (nm/min) !! Anisotropy !! Selective to !! Selectivity !! Ref. !! Notes !! Confirmed By/Date <br />
|-<br />
| InP|| HCl:H3PO4 (1:3)|| ~1000 || Highly || InGaAs || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || || Jon Doe <br />
|-<br />
|InP<br />
|HCl:H3PO4 (1:3)<br />
|~1000<br />
|Highly<br />
|InGaAsP<br />
|High<br />
|[http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)]<br />
|<br />
|Jon Doe<br />
|-<br />
| InP|| H3PO4:HCl (3:1)|| ~1000 || Highly || InGaAs || High || [http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)] || || Jon Doe <br />
|-<br />
|InP<br />
|H3PO4:HCl (3:1)<br />
|~1000<br />
|Highly<br />
|InGaAsP<br />
|High<br />
|[http://tel.archives-ouvertes.fr/docs/00/76/94/02/PDF/VA2_LAMPONI_MARCO_15032012.pdf Lamponi (p.102)]<br />
|<br />
|Jon Doe<br />
|-<br />
| Al2O3 ''(ALD Plasma 300C)''|| Developer: 300MIF || ~1.6 || None<br />
| Most non-Al Materials.<br />
| High || Measured in-house || Rate slows with time. || JTB <br />
|-<br />
| Al2O3 ''(ALD Plasma 300C)''|| Developer: 400K || ~2.2 || None<br />
| Most non-Al Materials.<br />
| High || Measured in-house || Rate slows with time. || JTB <br />
|-<br />
| Al2O3 ''(ALD Plasma 300C)''|| Developer: 400K (1:4) || ~1.6 || None<br />
| Most non-Al Materials.<br />
| High || Measured in-house || Rate slows with time. || JTB <br />
|-<br />
| Al2O3 ''(ALD Plasma 300C)''|| NH4OH:H2O2:H2O (1:2:50) || ~<0.5 || || |||| Measured in-house || Rate slows with time || JTB <br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Al2O3_deposition_.28IBD.29 Al2O3 ''(IBD)'']<br />
|HF ("Buffered HF Improved", Transene)<br />
|~170<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|May need to increase adhesion with thin SiO2 layer, and 100°C baked HMDS.<br />
|Biljana Stamenic, <br />
2017-12<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Al2O3_deposition_.28IBD.29 Al2O3 ''(IBD)'']<br />
|Developer: 726 MiF<br />
|3.5<br />
|None<br />
|Most non-Al Materials.<br />
|High<br />
|Measured in-house<br />
|<br />
|Demis D. John, <br />
2017-11<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Al2O3_Deposition_.28Sputter_4.29 Al2O3 ''(AJA#4)'']<br />
|Developer: 300 MiF<br />
|4.30<br />
|None<br />
|Most non-Al Materials.<br />
|High<br />
|Measured in-house<br />
|<br />
|Demis D. John<br />
2018-02<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#SiO2_deposition_.28PECVD_.231.29 SiO2 ''(PECVD #1)'']<br />
|HF ("Buffered HF Improved", Transene)<br />
|~500<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|May need to increase adhesion with 100°C baked HMDS.<br />
|Biljana Stamenic<br />
2017<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#SiO2_deposition_.28PECVD_.232.29 SiO2 ''(PECVD #2)'']<br />
|HF ("Buffered HF Improved", Transene)<br />
|~500<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|May need to increase adhesion with 100°C baked HMDS.<br />
|Biljana Stamenic<br />
2017<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#SiO2_deposition_.28IBD.29 SiO2 (IBD)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|~350<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2016<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#SiN_deposition_.28PECVD_.231.29 Si3N4 (PECVD#1)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|85<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2018-04<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#SiN_deposition_.28PECVD_.232.29 Si3N4 (PECVD#2)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|35–45<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2018-05<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#Low-Stress_SiN_deposition_.28PECVD_.232.29 Si3N4 Low-Stress (PECVD#2)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|35–50<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2018-05<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Si3N4_deposition_.28IBD.29 Si3N4 (IBD)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|5–15<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2014<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Ta2O5_deposition_.28IBD.29 Ta2O5 (IBD)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|0.4<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2016-12<br />
|-<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#TiO2_deposition_.28IBD.29 TiO2 (IBD)]<br />
|HF ("Buffered HF Improved", Transene)<br />
|1.0–2.0<br />
|None<br />
|Photoresist<br />
|High<br />
|Measured in-house<br />
|<br />
|Biljana Stamenic<br />
2014-12<br />
|-<br />
|Si (<100> crystalline)<br />
|KOH (45%) @ 87°C<br />
|~730<br />
|High, Crystallographic, ~55°<br />
|Low-Stress Si3N4 - either [[PECVD Recipes#Low-Stress SiN deposition .28PECVD .232.29|PECVD #2]] or Commercial LPCVD Si3N4<br />
Other Si3N4 also OK.<br />
|LS-SiN: High<br />
PR etches quickly, SiO2 etches slowly.<br />
|Measured In-House<br />
- Search online.<br />
|Use the Covered, Heated vertical bath ([[Wet Benches#Wafer Toxic Corrosive Benches|Dedi cated bath in Bay 4]]). Slight Bubbler.<br />
|Brian Thibeault<br />
2017<br />
|}<br />
<br />
[[Category:Processing]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=NanoFab_Process_Group&diff=159183NanoFab Process Group2021-09-04T19:51:12Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>''This page lists various processes used internally by the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Staff_List#Process_Group NanoFab Process Group].''<br />
<br />
== Dicing Procedures ==<br />
<br />
=== Photoresist Application, Cleaning and Shipping ===<br />
* [[ProcessGroup: PR Spin for Dicing Protect - UV6|PR Spin for Dicing Protect]] - ~800nm thick PR only (UV6)<br />
* [[ProcessGroup: PR Clean of UV-6|PR Clean of UV-6]]<br />
* [[ProcessGroup: Shipping Samples on Dicing Tape+Frame|Shipping Samples on Dicing Tape+Frame]]<br />
<br />
=== Dicing Alignment Mark Exposure ===<br />
''For providing alignment marks to use while dicing.''<br />
* [[ProcessGroup: ASML Stepper 3: Dicing Alignment Guides|ASML Stepper #3: Dicing Alignment Guides]]<br />
** ''How to program the ASML to shoot some alignment markers for use during dicing.''<br />
<br />
== Process Control Calibration Procedures ==<br />
<br />
=== Etching Tools ===<br />
* [[ProcessGroup: Unaxis PM1: Indium Phosphide Etch Verification procedure|Unaxis PM1: Indium Phosphide Etch Verification Procedure]]<br />
* [[ProcessGroup: ICP|ICP#1/2: SiO2 Etch Verification Procedure]]<br />
* [[ProcessGroup: PlasmaTherm SLR: SiO2 Etch Verification Procedure|PlasmaTherm SLR: SiO2 Etch Verification Procedure]]<br />
* [[ProcessGroup: PlasmaTherm DSEiii: Si Etch Verification Procedure|PlasmaTherm DSEiii: Si Etch Verification Procedure]]<br />
<br />
=== Deposition Tools ===<br />
* [[ProcessGroup: PECVD|PECVD#1: Process Verification Procedure]]<br />
* [[ProcessGroup: PECVD|PECVD#2: Process Verification Procedure]]<br />
* [[ProcessGroup: Unaxis PM3 Process Verification Procedure|Unaxis PM3: Process Verification Procedure]]<br />
* [[ProcessGroup: IBD Process Verification Procedure|Veeco IBD: Process Verification Procedure]]<br />
<br />
== Equipment Calibration Procedures ==<br />
<br />
=== Lithography Tools ===<br />
* [[ProcessGroup: GCA 6300 Stepper|GCA 6300 Stepper #1: Calibration Procedure]]<br />
* [[ProcessGroup: GCA AutoStep 200, Stepper|GCA AutoStep 200, Stepper #2: Calibration procedure]]<br />
* [[ProcessGroup: ASML 5500 Stepper|ASML 5500 Stepper#3: Calibration Verification/Update procedure]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Microscopes&diff=159182Microscopes2021-09-04T19:51:09Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=microscopes.jpg<br />
|type = Inspection, Test and Characterization<br />
|super= Demis D. John<br />
|location=Bay 3, 6 & 7<br />
|description = Optical Microscopes<br />
|manufacturer = Nikon & Olympus<br />
}}<br />
<br />
==Procedures & Tools==<br />
Most General-Use Microscopes do not require formal training - please see the pages below for specifics.<br />
<br />
===[[UCSB NanoFab Microscope Training|'''Microscope Training Guide''']]===<br />
This guide explains general usage info & the many advanced features available on most of our microscopes. New users are encouraged to read this, and optionally request in-person training by [[Demis D. John|Demis]].<br />
<br />
===Image Analysis Software===<br />
Many of our microscopes have cameras and software for image capture. If you know the ''microscope and objective'' used for acquiring a photo, you can make calibrated measurements on the photos at your own desktop using the following software:<br />
<br />
*[https://www.amscope.com/software-download AmScope Software] - microscope image analysis software<br />
**[[Amscope Quickstart Usage Guide]]<br />
**AmScope Calibration File containing calibrations for all NanoFab microscopes: [https://wiki.nanotech.ucsb.edu/wiki/Images/uploads/2020/AmScopeCalsAll.magn Download Here]<br />
**Also available on '''''Nanofiles-SFTP / Manuals / Amscope'''''<br />
*[https://fiji.sc FIJI] - scientific image anaylsis software<br />
**[[FIJI - Microscope Measurement Tools|The Microscope Measurement Tools plugin]] has pre-configured calibrations for NanoFab microscopes & SEMs, and allows you to draw length measurements.<br />
***''Calibrations in this plugin repository are out of date as of microscope upgrades in 2019''.<br />
**There are many [https://imagej.net/Category:Plugins other useful plugins], for particle counting, [https://stackoverflow.com/questions/6230353/how-to-create-gif-animation-from-a-stack-of-jpgs/37193012#37193012 creating animations] etc.<br />
<br />
==General-Use Microscopes==<br />
''These microscopes do not require formal training to use. However, if you have never used a motorized objective turret, please see the [[UCSB NanoFab Microscope Training|'''Microscope Training Guide''']] for safety info.'' <br />
<br />
===Microscope #2: Nikon Optiphot 200 (Bay 2)===<br />
<br />
*Trinocular: Ocular Binoc. + Camera (Simultaneous)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
**DIC only available on 100x and 150x mags due to image quality reduction at low mags due to DIC prisms which were removed for low mags.<br />
*Top Reflected Illumination (Episcopic)<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #3: Nikon Eclipse L200 (Bay 6)===<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/8/80/Nikon-Microscope-Manual.pdf Manual for Nikon Eclipse L200D]<br />
*Trinocular: Binoc. + Camera (Mutually Exclusive)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top Reflected Illumination (Episcopic)<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #4: Nikon Eclipse L200D (Bay 6)===<br />
<br />
*Trinocular: Binoc. + Camera (Mutually Exclusive)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top Reflected (Episcopic) & Bottom Transmission (Diascopic) Illumination<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #5: [[Fluorescence Microscope (Olympus MX51)|Fluorescence Microscope: Olympus MX51 (Bay 6)]]===<br />
<br />
*See the wiki page for the [[Fluorescence Microscope (Olympus MX51)|Olympus MX51]] for full details<br />
*Trinocular: Binoc. + Camera (Simultaneous)<br />
*Native Olympus Stream Software:<br />
**Photo/video capture<br />
**Calibrated measurement (calibrations locked)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top (Episcopic) & Bottom (Diascopic) Illumination<br />
*Three Fluorescence Filters (requires training, see main tool page for specs.)<br />
<br />
[[File:Microscope 01 Olympus BHMJL crop.png|alt=Photo of Microscope #01 in the Characterization lab, Room 1111|thumb|200x200px|Microscope #01]]<br />
<br />
===Microscope #1: Olympus BHMJL (''removed temporarily'')===<br />
<br />
*Trinocular: Ocular Binoc. + Camera (Exclusive)<br />
*LED Illuminator, Variable<br />
*Objectives: ''10x, 20x, 50x, 100x''<br />
*Bright/Dark Field<br />
*Top Reflected (Episcopic) & Bottom Transmission (Diascopic) Illumination<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for offline measurements: [https://www.amscope.com/software-download Link here].<br />
*User Manual: [https://wiki.nanotech.ucsb.edu/w/index.php?title=File:Olympus_-_BHM_Microscope_-_Instruction_Manual.pdf Olympus BHM Microscopes - Instruction Manual (PDF)]<br />
<br />
==Microscopes Requiring Training==<br />
''The following microscopes require training from the supervisor. Click on the appropriate tool page to see the supervisor info.''<br />
<br />
===Microscope #6: [[Deep UV Optical Microscope (Olympus)|DUV Microscope: Olympus MX61A-DUV (Bay 4)]]===<br />
Please see the main tool page for detailed info on this microscope: [[Deep UV Optical Microscope (Olympus)]]<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Owner to get training.<br />
<br />
*Motorized Stage + Objective Turret<br />
*Trinocular: Binoc. + Camera (Simultaneous)<br />
*Objectives: 5x, 10x, 20, 50x, 100x, DUV-100x<br />
*Filters: ''to be added''<br />
*Native Olympus MX61 Software control & Camera<br />
**Calibrated measurements (calibrations locked)<br />
**Z (focus) measurement via motorized stage height<br />
*Deep-UV Light source + DUV Camera<br />
**DUV-100x sub-micron imaging/measurement<br />
<br />
===Microscope #7: [[Digital Microscope (Olympus DSX1000)|Olympus DSX1000 Digital Microscope (Bay 4)]]===<br />
Please see the main tool page for detailed info on this microscope, click the link above.<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Supervisor to get training.<br />
<br />
*Motorized Stage + Objective Turret<br />
*Digital Viewing via Computer<br />
*Objectives: High-Res.: 5x, 50x // Long-Distance + Angled Viewing: 10x, 40x<br />
*Filters: <br />
**Bright Field<br />
**Dark Field<br />
**DIC/Nomarski<br />
*Native Olympus Software control & Camera<br />
**Calibrated measurements (calibrations locked)<br />
**Z (focus) measurement via motorized stage height<br />
**Rapidly capture multiple imaging modes<br />
**Offline Analysis Software available for free<br />
*Tilted Imaging capabilities, for deep (> few micron) features.<br />
<br />
===[[Laser Scanning Confocal M-scope (Olympus LEXT)|Olympus LEXT Confocal Microscope (Bay 4)]]===<br />
See the main tool page for complete info: [[Laser Scanning Confocal M-scope (Olympus LEXT)]]<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Owner to get training.<br />
<br />
*Motorized stage + Objective Turret<br />
*100mm wafer stage<br />
*Native Olympus OLS2000 Software & Built-In Camera:<br />
**Calibrated measurement (calibrations locked)<br />
**Image stitching capabilities<br />
*3D Laser-Scanning Confocal Microscopy capability:<br />
**3D Topographical measurement (optical profilometry)<br />
**Surface roughness estimations (large roughness)<br />
**Thin-Film Film-Thickness Measurements (thicker films)<br />
<br />
=== [[Filmetrics F40-UV Microscope-Mounted|Filmetrics F40-UV / Olympus BHMJL (Bay 4)]] ===<br />
<br />
* Very simple, manual microscope.<br />
* Has spectroscopic reflectometer attached for thin-film measurements in small (<100µm) area.<br />
* See the tool page for Training on the Filmetrics Thin-Film Measurement tool.<br />
<br />
==Procedures & Documentation==<br />
<br />
*[[UCSB NanoFab Microscope Training|'''Microscope Training''']] - General procedures and info for using our microscopes<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/8/80/Nikon-Microscope-Manual.pdf Nikon Microscope Instruction Manual (Ecplise)]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/2/28/Nikon-Microscope-OptiPhot-Manual.pdf Nikon Microscope Instruction Manual (Optiphot)]<br />
*[https://www.amscope.com/software/AmScope/MU-Series-Complete-Manual-Complete.pdf AM Scope manual link].<br />
*[https://www.amscope.com/software-download AM Scope Software link].</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=DSEIII_(PlasmaTherm/Deep_Silicon_Etcher)&diff=159180DSEIII (PlasmaTherm/Deep Silicon Etcher)2021-09-04T19:51:08Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=DSEIII.jpg<br />
|type = Dry Etch<br />
|super= Brian Lingg<br />
|phone= 805-893-3918x216<br />
|location=Bay 2<br />
|email=freeborn@ece.ucsb.edu<br />
|description = Deep Silicon Etcher: Bosch MEMS Processes<br />
|manufacturer = Plasmatherm<br />
|materials = <br />
|toolid=63<br />
}} <br />
==About==<br />
<br />
The Si DRIE system is a Plasma-Therm DSEIII series system with a loadlock. The system has an Inductively Coupled Plasma (ICP) coil and a capactively coupled substrate HF (13.56MHz) and LF (100kHz) supplies to independently control plasma density and ion energy in the system. This system is dedicated to deep silicon Bosch etching, although short O2 etches are also permitted. The fixturing is configured for 4" diameter Si wafers and uses a clamp to hold the sample on the RF chuck. <br />
<br />
The materials allowed to be exposed in the system are limited to Silicon, SiO<sub>2</sub>, Si<sub>3</sub>N<sub>4</sub>, SiO<sub>X</sub>N<sub>Y</sub>, and polymer films such as photoresist, PMMA, and polyimide. Other materials can be placed in the chamber with staff approval. <br />
<br />
Helium back-side cooling is used to keep the sample cool during the etch. Temperature control is very important as the polymer passivation layer is chemically etched away by the fluorine gas at elevated temperatures, resulting in loss of profile control. <br />
<br />
It is very important that your wafer does not stick to the top-side clamp in the chamber. The clamp will get hot during long etches, causing thick photoresists to soften and adhere to the clamp, resulting if wafer loss or breakage. <br />
<br />
'''Users must remove photoresist from the wafer edge''' to prevent this. We have photolithographic methods for performing this cleanly, or simple swabbing with EBR100 will also suffice. <br />
<br />
Pieces of wafers can be placed onto 4" silicon wafers, or mounted as long as material does not get on the clamp. It is common for through-silicon etches to use a carrier wafer, often bonded with wax on the Logitech bonder, and excess wax removed to ensure not adhesion to the clamp. <br />
<br />
The etch rate is dependent on the open area of silicon (macro-loading effect) with large open area samples etching slower than small open area samples. Features with a high aspect ratio will also etch slower than more open areas. This is known as RIE lag or the micro-loading effect. <br />
<br />
The in-situ laser monitor installed on this system allows for repeatable etches and endpoint detection via continuous optical monitoring of the wafer reflectivity in a user-determined location, through a porthole on the chamber. <br />
<br />
==Detailed Specifications==<br />
<br />
*3500 W ICP coil power at 2 MHz and 500 W substrate bias at 13.56 MHz plasma generators<br />
*C<sub>4</sub>F<sub>8</sub>, SF<sub>6</sub>, O<sub>2</sub>, Ar, N<sub>2</sub> gases available<br />
*He-back-side cooling<br />
*100mm wafer held down with ceramic clamp., single-load<br />
**Users must ensure thick photoresists or other substances do not contact the clamp, to prevent wafer stiction and breakage.<br />
*Windows-based Cortex software control of process and wafer handling<br />
*Allowed materials: Silicon, SiO<sub>2</sub>, Si<sub>3</sub>N<sub>4</sub>, SiO<sub>X</sub>N<sub>Y</sub>, Al, Al2O3, and polymer films such as photoresist, PMMA, and polyimide; CrystalBond wax for mounting to carrier wafer (ask staff before using oil).<br />
**Realized etch rates (including passivation steps) for Bosch process of >8 um / min. Selectivity to resist > 80:1 for low aspect ratio.<br />
*Laser monitoring with camera and etch simulation software: [[Laser Etch Monitoring|Intellemetrics LEP 500]]<br />
<br />
==Documentation==<br />
<br />
*{{file|DSEIII Operating Instructions.pdf|DSEIII Operating Instructions}}<br />
*[[Laser Etch Monitoring|Laser Etch Monitoring procedures]]<br />
<br />
==Recipes==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#DSEIII_.28PlasmaTherm.2FDeep_Silicon_Etcher.29 '''Plasma-Therm DSE-iii Recipes'''] - Recipes specific to this tool.<br />
*All [[Dry Etching Recipes]] - use this list to see other options for dry etching various materials.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Fluorine_ICP_Etcher_(PlasmaTherm/SLR_Fluorine_ICP)&diff=159179Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)2021-09-04T19:51:06Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=SiDeep.jpg<br />
|type = Dry Etch<br />
|super= Brian Lingg<br />
|phone= 805-893-3918x216<br />
|location=Bay 2<br />
|email=freeborn@ece.ucsb.edu<br />
|description = SiRIE Based Flourine Etcher for Bosch MEMS Processes<br />
|manufacturer = Plasmatherm (Unaxis)<br />
|materials = <br />
|toolid=28<br />
}} <br />
<br />
= About =<br />
<br />
The system is a Plasma-Therm 770 SLR series system with a loadlock. The system has an Inductively Coupled Plasma (ICP) coil and a capactively coupled substrate RF supply to independently control plasma density and ion energy in the system. The system is fully computer controlled in all aspects of the pumping cycles and process control, and can be programmed by the user. The fixturing is configured for 4" diameter Si wafers and uses a clamp to hold the sample on the RF chuck. <br />
<br />
The materials allowed in the system are limited to Silicon, SiO<sub>2</sub>, Si<sub>3</sub>N<sub>4</sub>, SiO<sub>X</sub>N<sub>Y</sub>, and polymer films such as photoresist, PMMA, and polyimide. Other materials can be placed in the chamber, such as metal layers on the surface, only if they will remain completely protected from the plasma by an allowed material during the entire etch. Some alternate stop-etch materials may be allowed upon discussion with facility staff. <br />
<br />
Helium back-side cooling is used to keep the sample cool during the etch. Temperature control is very important as the polymer passivation layer is chemically etched away by the fluorine gas at elevated temperatures, resulting in loss of profile control. Pieces of wafers can be mounted onto 4" silicon wafers using thin, uniform, bubble-free hard baked photoresist. The etch rate is dependent on the open area of silicon (macro-loading effect) with large open area samples etching slower than small open area samples. Features with a high aspect ratio will also etch slower than more open areas. This is known as RIE lag or the micro-loading effect. <br />
<br />
The in-situ laser monitor installed on the chamber allows for repeatable etches and endpoint detection via continuous optical monitoring of the wafer reflectivity in a user-determined location, through a porthole on the chamber. <br />
<br />
= Detailed Specifications =<br />
<br />
*1000 W ICP coil power at 2 MHz and 500 W substrate bias at 13.56 MHz plasma generators <br />
*C<sub>4</sub>F<sub>8</sub>, SF<sub>6</sub>, O<sub>2</sub>, Ar, N<sub>2,</sub> CHF<sub>3,</sub> CF<sub>4</sub> gases available <br />
*He-back-side cooling <br />
*Windows-based computer control of process and wafer handling <br />
*Allowed materials: Silicon, SiO<sub>2</sub>, Si<sub>3</sub>N<sub>4</sub>, SiO<sub>X</sub>N<sub>Y</sub>, and polymer films such as photoresist, PMMA, and polyimide; other stop-etch materials on request <br />
*Realized etch rates (including passivation steps) of &gt; 3 µm / min. Using the standard Plasma Therm recipe, a nominal etch rate of 2 um / min. is achieved; etch rate dependent on conditions and open area<br />
*Laser endpoint monitoring with camera and simulation software, for repeatable etching - see: [[Laser Etch Monitoring|Intellemetrics LEP 500]]<br />
<br />
=Documentation=<br />
*{{file|Running_a_process_on_Plasma_Therm_SLR.pdf|Fluorine Etcher Operating Instructions (Cortex Software)}}<br />
<br />
*{{file|How to restart the software on Si Deep Etch.pdf|How to restart software on Plasma-Therm Cortex Software}}<br />
*[[Laser Etch Monitoring|Laser Monitor procedures]]<br />
<br />
= Recipes =<br />
* Recipes > [[Dry Etching Recipes|Dry Etching]] > [https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#PlasmaTherm.2FSLR_Fluorine_Etcher '''PlasmaTherm/SLR Fluorine Etcher''']<br />
** Starting point recipes for the FL-ICP<br />
** ''Historical Data'' records "calibration" etches to test tool performance.<br />
* You can see a full list of all tools and all materials able to be etched by each on our [[Dry Etching Recipes|Dry Etching Recipes Table]].</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Vacuum_Deposition_Recipes&diff=159178Vacuum Deposition Recipes2021-09-04T19:50:56Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{Recipe Table Explanation}}<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#d0e7ff"<br />
! colspan="16" width="1675" height="45" |<div style="font-size: 150%;">Vacuum Deposition Recipes</div><br />
|- bgcolor="#d0e7ff"<br />
|<!-- INTENTIONALLY LEFT BLANK --><br><br />
! colspan="4" bgcolor="#d0e7ff" align="center" |'''[[E-Beam Evaporation Recipes|E-Beam Evaporation]]'''<br />
! colspan="4" |'''[[Sputtering Recipes|Sputtering]]'''<br />
! colspan="2" bgcolor="#d0e7ff" align="center" |'''[[Thermal Evaporation Recipes|Thermal Evaporation]]'''<br />
! colspan="3" bgcolor="#d0e7ff" align="center" |'''[[PECVD Recipes|Plasma Enhanced Chemical<br>Vapor Deposition (PECVD)]]'''<br />
! width="90" bgcolor="#d0e7ff" align="center" |'''[[Atomic Layer Deposition Recipes|Atomic Layer Deposition]]'''<br />
! width="80" bgcolor="#d0e7ff" align="center" |'''[[Molecular Vapor Deposition Recipes|Molecular Vapor Deposition]]'''<br />
|-<br />
! width="20" bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_1_.28Sharon.29|E-Beam 1 (Sharon)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_2_.28Custom.29|E-Beam 2 (Custom)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_3_.28Temescal.29|E-Beam 3 (Temescal)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_4_.28CHA.29|E-Beam 4 (CHA)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_3_.28AJA_ATC_2000-F.29|Sputter 3<br>(AJA ATC 2000-F)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29|Sputter 4<br>(AJA ATC 2200-V)]]<br />
| width="65" bgcolor="#daf1ff" |[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Sputter_5_.28AJA_ATC_2200-V.29 Sputter 5 (AJA ATC 2200-V)]<br />
| width="55" bgcolor="#daf1ff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|Ion Beam<br>Deposition (Veeco Nexus)]]<br />
| width="45" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_1|Thermal<br>Evap 1]]<br />
| width="65" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_2_.28Solder.29|Thermal Evap 2 (Solder)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_1_.28PlasmaTherm_790.29|PECVD 1<br>(PlasmaTherm 790)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_2_.28Advanced_Vacuum.29|PECVD 2<br>(Advanced Vacuum)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD_Recipes#ICP-PECVD_.28Unaxis_VLR.29|Unaxis VLR ICP-PECVD]]<br />
| width="65" bgcolor="#daf1ff" |[[Atomic_Layer_Deposition_Recipes|Atomic Layer Deposition (Oxford FlexAL)]]<br />
| width="65" bgcolor="#daf1ff" |[[Molecular Vapor Deposition|Molecular Vapor Deposition (Tool)]]<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ag<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Al<br />
|{{Al/E1}}<br />
|<br><br />
|{{Al/E3}}<br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|A]]<br />
|{{rl|Sputtering Recipes|Al Deposition (Sputter 4)}}<br />
|<br><br />
|<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3</sub><br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Al2O3 Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Al2O3_deposition_.28IBD.29 R]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|Al2O3 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |AlN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|AlN deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Au<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ti-Au Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |B<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |C<br />
| bgcolor="#eeffff" align="center" |{{rl|E-Beam Evaporation Recipes|Materials Table (E-Beam #1)}}<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |CeO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|E-Beam Evaporation Recipes|E-Beam 2 (Custom)|CeO<sub>2</sub> deposition (E-Beam 2)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Co<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Cr<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Sputter_3_.28AJA_ATC_2000-F.29 R]<br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Cu<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Fe<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ge<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |GeO<sub>2</sub><br />
|<br />
|{{Al/E2}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Gd<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Hf<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |HfO<sub>2</sub><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|HfO2 deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |In<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ir<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ITO<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|E-Beam Evaporation Recipes|E-Beam 2 (Custom)|ITO deposition (E-Beam 2)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |MgF2<br />
|<br />
|<br />
|<br />
|<br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |MgO<br />
|<br />
|{{Al/E2}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Mo<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Nb<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Nd<br />
|<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ni<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |NiCr<br />
|{{Al/E1}}<br />
|<br />
|<br />
|{{Al/E4}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |NiFe<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Pd<br />
|{{Al/E1}}<br />
|<br><br />
|{{Al/E3}}<br />
|{{Al/E4}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Pt<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 4 (AJA ATC 2200-V)}}<br />
| bcolor="EEFFFF" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|Pt deposition (ALD CHAMBER 1)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ru<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|<br><br />
|[[Sputtering Recipes#Ru Deposition .28Sputter 4.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|Ru deposition (ALD CHAMBER 1)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Si<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[https://wiki.nanotech.ucsb.edu/w/index.php?title=PECVD_Recipes#Amorphous-Si_deposition_.28PECVD_.232.29 R]<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Sputtering Recipes|SiN deposition (Sputter 3)}}<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|[[Sputtering_Recipes#Si3N4_deposition_.28IBD.29|R]]<br />
|<br><br />
|<br><br />
|{{rl|PECVD Recipes|SiN deposition (PECVD #1)}}<br />
|{{rl|PECVD Recipes|SiN deposition (PECVD #2)}}<br />
|{{rl|PECVD Recipes|SiN 250C deposition (Unaxis VLR)}}<br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiN - Low Stress<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|PECVD Recipes|Low-Stress SiN - LS-SiN (PECVD#1)}}<br />
|{{rl|PECVD Recipes|Low-Stress SiN deposition (PECVD #2)}}<br />
|{{rl|PECVD Recipes|SiN LS 250C Deposition (Unaxis VLR)}}<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>2</sub><br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|SiO2 deposition (Sputter 3)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#SiO2_deposition_.28IBD.29|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 deposition (PECVD #1)}}<br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 deposition (PECVD #2)}}<br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 LDR 250C Deposition (Unaxis VLR)}}<br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|SiO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>x</sub>N<sub>y</sub><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#SiOxNy_deposition_.28IBD.29 R]<br />
|<br><br />
|<br><br />
|[[PECVD_Recipes#PECVD_1_.28PlasmaTherm_790.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Sn<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SrF<sub>2</sub><br />
|<br><br />
|{{Al/E2}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ta<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ta deposition (Sputter 3)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ta<sub>2</sub>O<sub>5</sub><br />
|<br><br />
|<br><br />
|<br><br />
|{{Al/E2}}<br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering_Recipes#Ta2O5_deposition_.28IBD.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ti<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ti-Au Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29 R]<br />
|<br><br />
|[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|TiN deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiW<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|W-TiW Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 4 (AJA ATC 2200-V)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#TiO2_deposition_.28IBD.29|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|TiO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |V<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |W<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|W deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Zn<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ZnO<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|ZnO:Al deposition (ALD CHAMBER 1)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Zr<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ZrO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|ZrO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! width="20" bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_1_.28Sharon.29|E-Beam 1 (Sharon)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_2_.28Custom.29|E-Beam 2 (Custom)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_3_.28Temescal.29|E-Beam 3 (Temescal)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_4_.28CHA.29|E-Beam 4 (CHA)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering Recipes#Sputter_3_.28ATC_2000-F.29|Sputter 3<br>(ATC 2000-F)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29|Sputter 4<br>(ATC 2200-V)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering Recipes|Sputter 5 (ATC 2200-V)]]<br />
| width="55" bgcolor="#daf1ff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|Ion Beam<br>Deposition (Veeco Nexus)]]<br />
| width="45" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_1|Thermal<br>Evap 1]]<br />
| width="65" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_2_.28Solder.29|Thermal Evap 2 (Solder)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_1_.28PlasmaTherm_790.29|PECVD 1<br>(PlasmaTherm 790)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_2_.28Advanced_Vacuum.29|PECVD 2<br>(Advanced Vacuum)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD_Recipes#ICP-PECVD_.28Unaxis_VLR.29|Unaxis VLR ICP-PECVD]]<br />
| width="65" bgcolor="#daf1ff" |[[Atomic_Layer_Deposition_Recipes|Atomic Layer Deposition (Oxford FlexAl)]]<br />
| width="65" bgcolor="#daf1ff" |[[Molecular Vapor Deposition|Molecular Vapor Deposition (Tool)]]<br />
|}<br />
<br />
[[Category:Processing]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Calculators_%2B_Utilities&diff=159177Calculators + Utilities2021-09-04T19:50:52Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>''This page lists a few online calculators and utilities that are useful to lab users.''<br />
<br />
==Fabrication Processes & Converters==<br />
<br />
*[https://cleanroom.byu.edu/oxidetimecalc/ Thermal Oxide Calculator (BYU)]<br />
*[http://www.lelandstanfordjunior.com/thermaloxide.html Thermal Oxide Calculator (Leland Stanford Jr.)]<br />
**''This Thermal Ox calculator allows you to tweak the calculation using the Partial Pressure variable, to match your experimental data.''<br />
*[http://www.calculatoredge.com/optical%20engg/pressure%20converter%20calc.htm Conversion of Pressure Units (calculatoredge.com)]<br />
*[https://www.pfeiffer-vacuum.com/en/know-how/introduction-to-vacuum-technology/fundamentals/mean-free-path/ Mean Free Path tables (Pfeiffer Vacuum)]<br />
*[http://www.lelandstanfordjunior.com LelandStanfordJunior.com]: Film Stress, Ion Implant, Thermal Oxidation, KOH Etching & online curve-fitting<br />
<br />
==Material Parameters==<br />
<br />
*[https://www.webelements.com/compounds.html WebElements: Compounds]<br />
**''Boiling points of various compounds can tell you how volatile an etch product may be in a reactive ion etch, or whether they need to be wet-etched instead.''<br />
*[http://www.ioffe.ru/SVA/NSM/Semicond/index.html Physical Properties of Semiconductors (Ioffe Institute)]<br />
<br />
==Wet Etching==<br />
<br />
*[http://transene.com/etch-compatibility/ Transene Inc. Chemical Compatibility Chart]<br />
**''This table shows common metals and which Transene etchants they are attacked by/impervious to.''<br />
*[http://www.sciencedirect.com/science/article/pii/S0927796X00000279 A.R. Clawson, "Guide to references on III±V semiconductor chemical etching", 2001]<br />
**''Enormous review of published wet etches of many semiconductors and alloys. The only thing it's missing is a hyperlinked table of contents.''<br />
<br />
==Refractive Indices==<br />
''Optical constants of many common materials. Useful for Optical thin-film analysis (ellipsometry/spectroscopic fitting), laser etch monitoring, optical filter/mirror/anti-reflection coating design, photonic devices etc.''<br />
<br />
*[http://luxpop.com/HU_v172.cgi?OpCode=73 LuxPop.com]<br />
*[https://refractiveindex.info RefractiveIndex.info]<br />
*[https://filmetrics.com/refractive-index-database Filmetrics Inc.]<br />
*[http://www.ioffe.ru/SVA/NSM/nk/index.html Ioffe Institute]<br />
<br />
==Scripts + Programs==<br />
<br />
===Analysis Programs===<br />
<br />
*[https://www.amscope.com/software-download AmScope Software] - microscope image analysis software<br />
**AmScope Calibration File containing calibrations for all NanoFab microscopes: [https://wiki.nanotech.ucsb.edu/wiki/Images/uploads/2020/AmScopeCalsAll.magn Download Here]<br />
**Also available on '''''Nanofiles-SFTP / Manuals / Amscope'''''<br />
*[https://fiji.sc FIJI] - scientific image anaylsis software<br />
**[[FIJI - Microscope Measurement Tools|The Microscope Measurement Tools plugin]] has pre-configured calibrations for NanoFab microscopes & SEMs, and allows you to draw length measurements.<br />
***''Calibrations in this plugin repository are out of date as of microscope upgrades in 2019''.<br />
**There are many [https://imagej.net/Category:Plugins other useful plugins], for particle counting, [https://stackoverflow.com/questions/6230353/how-to-create-gif-animation-from-a-stack-of-jpgs/37193012#37193012 creating animations] etc.<br />
*[http://gwyddion.net Gwyddion] - free analysis software for Atomic Force Microscopes (AFMs) and other 3D data.<br />
**Sophisticated leveling, slicing, roughness/particulate analysis functions etc.<br />
**Can open Bruker NanoScope files, from the [[Atomic_Force_Microscope_(Dimension_3100/Nanoscope_IVA)|AFM]]<br />
*[https://www.profilmonline.com ProfilmOnline.com (Filmetrics)] - online analysis/storage/sharing of 3D topographical data and images.<br />
**You can share an interactive 3D render of your [[Atomic Force Microscope (Bruker ICON)|AFM]] or [[Optical Profilometer - White-Light/Phase-Shift Interference (Filmetrics Profilm3D)|Profilm3D]] scans with this tool.<br />
**[https://www.profilmonline.com/s/cjezAEur8mC2 Example AFM Scan], taken with NanoFab equipment, shared online for interactive analysis (slice, flatten etc.).<br />
<br />
===CAD Layout Programs===<br />
''Use these for designing your lithography mask plates.''<br />
<br />
====L-Edit====<br />
Powerful multi-layer layout program. Sophisticated object instantiation and array layout, to reduce files sizes and easily push changes to multiple cells.<br />
<br />
*The NanoFab will provide a network license for academic users.<br />
*Windows only.<br />
*[https://wiki.nanotech.ucsb.edu/w/index.php?title=Frequently_Asked_Questions#How_do_I_get_my_files_from_the_NanoFab_computers.3F Log into the NanoFiles SFTP server,] and download L-Edit & Install instructions from the <code>Manuals/Software</code> folder.<br />
*See [https://wiki.nanotech.ucsb.edu/wiki/Images/uploads/2018/LEdit_GettingStarted_CherryGupta.pdf this L-Edit Tutorial] for a good starter guide. Written by [https://scholar.google.com/citations?user=qKzZc7AAAAAJ&hl=en Cherry Gupta], courtesy of [https://me.ucsb.edu/people/sumita-pennathur Prof. Sumita Pennathur].<br />
<br />
====[https://www.klayout.de KLayout]====<br />
A free, open-source, and fast/simple CAD tool for mask/reticle layout. Download at [https://www.klayout.de/ klayout.de].<br />
<br />
*Available on Windows, Mac or *Nix.<br />
*Easily scriptable with Python or Ruby, with decent tutorials.<br />
*Fast viewing of layer overlay, overlay multiple files, cell hierarchy, large (>1GB) files etc.<br />
*Supports the same core functionality as L-Edit - hierarchical Cell Instances, arrays, programmable Cells (PCells) etc.<br />
*Please visit the [[KLayout Design Tips]] page for important info on making "valid" CAD files, along with setting up the program for efficient use.<br />
*‘Before’ starting your design, set the '''''Layout Properties > Database Unit''''' to something small eg. the default of 0.001 µm (1nm) is usually fine.<br />
*Turn on the display of the Origin (0,0) in '''''Preferences > Display > Background > Axis''''' = change from the default "invisible", eg. "'''''Lines with Ticks'''''".<br />
*How to draw circles: You have to draw a square, and then apply the function '''''Edit>Selection>Convert to PCell''>Basic.CIRCLE'''. Another way is to permanently convert to a polygon with '''''Edit>Selection>Round Corners''''' function with '''''Outer Corner Radius''''' = the desired circle radius (radius is not changeable in this method, but computation may be faster for a large number of objects). This is for compatibility, because GDS files do not have a "circle" primitive built-in, so instead it creates a polygon. Make sure you include enough polygon points, eg. 32. See the [https://www.klayout.de/forum/discussion/142/making-circles forum help pages] on this for more info.<br />
<br />
====CAD Design Tips====<br />
It is highly recommended that you understand and use the concept of "Cells" in your design. This circumvents many problems with enormous file sizes (due to huge numbers of identical polygons), and if used properly, helps tremendously with programming the Stepper lithography machines. Links to documentation below:<br />
<br />
*Create a new cell, and instancing that cell: [https://www.klayout.de/doc-qt4/manual/create_instance.html KLayout Docs : Creating a Cell instance]<br />
*Viewing only some levels of the heirachy, to prevent drawing all objects: [https://www.klayout.de/doc/manual/hier.html KLayout Docs: Viewing Cell Heirarchy]<br />
OASIS files tend to be much smaller than GDS files, and they also save the Layer Names (not just number). Alternatively, in KLayout the function '''File > Save Session''' will save the entire view including layer styles and window/zoom locations will be saved. You can share this file, as the entire design file is embedded within it, but it may not be as robust between KLayout versions.<br />
<br />
===== ''Handling very large files'' =====<br />
If you will be generating millions of identical shapes (eg. repeating array of circles), the file size can quickly become enormous due to all the stored polygon points. You can reduce the number of polygon points stored by: <br />
# Reduce the number of points in each shape/circle if possible. <br />
# Use Cell instancing so that only one, or a few, polygons are defined, and that same polygon is then only referenced as a repeating Cell instance. (See above for tutorials). <br />
# The OAS file type generates much smaller files, and most photomask vendors can accept this. Photomask vendors are used to handling large files. <br />
# Photomask vendors are able to take multiple files and insert them into the final reticle – you just need to provide a clear schematic showing the exact insertion coordinates for each file (with respect to the origin of each file). They can also do some boolean operations (for a fee).<br />
<br />
==== Example CAD File ====<br />
Here is an example CAD file, showing the use of Layers and Cells, designed in KLayout. The device is fictional, for illustrative purposes only.<br />
* [//wiki.nanotech.ucsb.edu/w/images/a/ae/CAD_Tutorial_for_ASML_Reticle_v1.OAS CAD_Tutorial_for_ASML_Reticle_v1.OAS] (Demis D. John)<br />
* Cell "'''Device_Layout'''" shows a single device, with each ''Layer'' overlaid as it would be in a fabricated device. Each Layer (eg. a "process step" such as Mesa etch, Pad Metal etc.) is placed into it's own Cell.<br />
:[[File:CAD Tutorial for ASML Reticle v1 - screenshot Device Layout cell.png|alt=screenshot of KLayout view of Device_Layout|none|thumb|530px|"Device_Layout" Cell showing as the "top cell"]]<br />
* Every Cell's ''Origin'' (0,0) lies on top of one another in the final ''Device_Layout''.<br />
** One way this can be accomplished is by selecting the objects/polygons you want to make into a new Cell, then use the function '''Edit >''' '''Selection > Make Cell''', and ''uncheck'' the "''Put Origin at...''" checkbox, so the new Cell maintains the same origin as the original view. <br />
* The Cell "'''Reticle_Layout'''" can be exported by itself (right-click the Cell name), for sending to the photomask manufacturer. <br />
** [//wiki.nanotech.ucsb.edu/w/images/3/34/DEMISJAN2020_-_Reticle_Layout_v1.GDS Here is and example GDS file (download)] for submission to the photomask manufacturer, with all objects moved to a single Layer.<br />
* See the [[ASML 5500 Mask Making Guidelines#Example CAD File and Programming|ASML Mask Making Guidelines page]] for an example of how to program this Reticle into an ASML Stepper job.<br />
<br />
===General Calculators===<br />
<br />
*[https://www.anaconda.com/download/ Anaconda Python]<br />
**A free Matlab-like IDE and GUI, using the Python language. The '''''Spyder''''' interface is modeled after Matlab.<br />
**Includes the scientific Python libraries needed for array math (numpy), plotting (matplotlib), data science (pandas) and many others. Many open-source packages are available to extend capabilities. The [https://pyvisa.readthedocs.io/en/stable/ PyVisa] module adds equipment control capabilities for automated measurements.<br />
<br />
*[https://www.online-utility.org/math/math_calculator.jsp Octave Online Interpreter (online-utility.org)]<br />
**A Matlab-like command-line interface, powered by Python Octave.<br />
<br />
*[http://www.wolframalpha.com Wolfram Alpha]<br />
**A versatile online interpreter/calculator, allowing calculations such as "Volume of 1.5g of Silicon", "melting point of SiO2" or "520°C in Fahrenheit".<br />
<br />
===Python Scripts===<br />
''These scripts are best run in the [https://pythonhosted.org/spyder/installation.html Spyder IDE], which is easily installed via [https://www.anaconda.com/download/ Anaconda], [http://python-xy.github.io Python(X,Y)], or by directly compiling Spyder using a command-line package manager.''<br />
<br />
*[https://github.com/demisjohn/Keithley-I-V-Sweep Keithley I-V Sweep]<br />
**Sweep voltage and plot current vs. voltage using a Keithley SMU.<br />
**Already installed at the Probe Station in Bay 4, and on the QFI Thermal Microscope (Use '''''Python(X,Y)''''' to run).<br />
**Requires the [https://pyvisa.readthedocs.io/en/stable/ pyvisa] python module.<br />
*[https://github.com/demisjohn/QFI-Scope-Thermal-Analysis QFIScope Thermal Analysis]<br />
**Import 2D temperature data from the [[IR Thermal Microscope (QFI)]] and plot temperature profiles at user-specified locations.<br />
**Already installed on the QFI Infrared Microscope.<br />
*[[Laser Etch Monitor Simulation in Python|Laser Etch Monitor Simulation in Python]]<br />
**Simulate your laser endpoint signal as you dry-etch through a stack of thin-film layers, using an open-source electromagnetics module.<br />
*[https://github.com/lbolla/EMpy/blob/master/examples/nk.py nk.py (Demis D. John)]<br />
**''Python functions for returning'' '''n''' ''(ref. idx.) &'' '''k''' ''(ext. coeff.) of various NanoFab thin-films at a specified wavelength (aka. dispersion models).''</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Tool_List&diff=159176Tool List2021-09-04T19:50:51Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>__NOTOC__<br />
=Lithography=<br />
<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
=====Photoresists and Lithography Chemicals=====<br />
<br />
*See the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Chemicals_Stocked_.2B_Datasheets Chemical Datasheets page].<br />
*[[Automated Coat/Develop System (S-Cubed Flexi)|Auto. Coat/Develop (S-Cubed Flexi)]]<br />
<br />
=====Contact Aligners (Optical Exposure)=====<br />
<br />
*[[Suss Aligners (SUSS MJB-3)]]<br />
*[[IR Aligner (SUSS MJB-3 IR)]]<br />
*[[Contact Aligner (SUSS MA-6)]]<br />
*[[DUV Flood Expose]]<br />
<br />
=====Direct-Write Lithography=====<br />
<br />
*[[E-Beam Lithography System (JEOL JBX-6300FS)]]<br />
*[[Field Emission SEM 1 (FEI Sirion)|E-Beam Lithography (FEI Sirion Nabity v9)]]<br />
*[[Focused Ion-Beam Lithography (Raith Velion)]]<br />
*[[Maskless Aligner (Heidelberg MLA150)]]<br />
<br />
=====Other Patterning Systems=====<br />
<br />
*[[Holographic Lith/PL Setup (Custom)|Holographic Litho/PL Setup (Custom)]]<br />
| width="400" |<br />
=====Steppers (Optical Exposure)=====<br />
<br />
*[[Stepper 1 (GCA 6300)|Stepper 1 (GCA 6300, i-line)]]<br />
*[[Stepper 2 (AutoStep 200)|Stepper 2 (AutoStep 200, i-line)]]<br />
*[[Stepper 3 (ASML DUV)|Stepper 3 (ASML DUV, Deep-UV)]]<br />
<br />
=====Thermal Processing for Photolithography=====<br />
<br />
*[[Ovens - Overview of All Lab Ovens|Ovens - Overview of all lab ovens]]<br />
*[[Ovens 1, 2 & 3 (Labline)]]<br />
*[[Oven 4 (Fisher)]]<br />
*[[Oven 5 (Labline)]]<br />
*[[High Temp Oven (Blue M)]]<br />
*[[Vacuum Oven (YES)]]<br />
<br />
=====Lithography Support=====<br />
<br />
*The [https://wiki.nanotech.ucsb.edu/w/index.php?title=Wet_Benches#Spin_Coat_Benches Spinner Benches] have pre-set hotplates at various temperatures appropriate for common photoresist bakes.<br />
*[https://signupmonkey.ece.ucsb.edu/w/index.php?title=Wet_Benches#Automated_Wet-processing_Spinners_.28POLOS.29 POLOS spinners] on Develop and Solvent benches<br />
*[[Spin Rinse Dryer (SemiTool)|Spin/Rinse/Dryer]]<br />
|-<br />
|}<br />
<br />
=Vacuum Deposition=<br />
<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
=====Physical Vapor Deposition (PVD)=====<br />
<br />
*[[E-Beam 1 (Sharon)]]<br />
*[[E-Beam 2 (Custom)]]<br />
*[[E-Beam 3 (Temescal)]]<br />
*[[E-Beam 4 (CHA)]]<br />
*[[Thermal Evap 1]]<br />
*[[Thermal Evap 2 (Solder)]]<br />
<br />
=====Sputter Deposition=====<br />
<br />
*[[Sputter 3 (AJA ATC 2000-F)]]<br />
*[[Sputter 4 (AJA ATC 2200-V)]]<br />
*[[Sputter 5 (AJA ATC 2200-V)]]<br />
*[[Ion Beam Deposition (Veeco NEXUS)]]<br />
<br />
| width="400" |<br />
=====Chemical Vapor Deposition (CVD)=====<br />
<br />
*[[PECVD 1 (PlasmaTherm 790)]]<br />
*[[PECVD 2 (Advanced Vacuum)]]<br />
*[[ICP-PECVD (Unaxis VLR)]]<br />
*[[Molecular Vapor Deposition]]<br />
*[[Atomic Layer Deposision (Oxford FlexAL)|Atomic Layer Deposition (Oxford FlexAL)]]<br />
<br />
|}<br />
<br />
=Dry Etch=<br />
<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
=====Reactive Ion Etching (RIE)=====<br />
<br />
*[[RIE 2 (MRC)]]<br />
*[[RIE 3 (MRC)]]<br />
*[[RIE 5 (PlasmaTherm)]]<br />
<br />
=====Plasma Etching and Cleaning=====<br />
<br />
*[[Plasma Clean (Gasonics 2000)]]<br />
*[[Plasma Clean (YES EcoClean)]]<br />
*[[Plasma Activation (EVG 810)]]<br />
*[[Ashers (Technics PEII)]]<br />
<br />
=====Etch Monitoring=====<br />
<br />
*[[Laser Etch Monitoring]] (Endpoint Detection)<br />
*Optical Emission Spectra<br />
*Residual Gas Analyzer (RGA)<br />
| width="400" |<br />
=====ICP-RIE=====<br />
<br />
*[[ICP Etch 1 (Panasonic E626I)]]<br />
*[[ICP Etch 2 (Panasonic E640)]]<br />
*[[ICP-Etch (Unaxis VLR)]]<br />
*[[Oxford ICP Etcher (PlasmaPro 100 Cobra)]]<br />
*[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|Plasma-Therm SLR: Fluorine ICP (PlasmaTherm/SLR Fluorine Etcher)]]<br />
*[[DSEIII (PlasmaTherm/Deep Silicon Etcher)|Plasma-Therm DSE-iii (PlasmaTherm/Deep Silicon Etcher)]]<br />
<br />
=====Ion Milling and Reactive Ion Beam Etching=====<br />
<br />
*[[CAIBE (Oxford Ion Mill)]]<br />
<br />
=====Other Dry Etching=====<br />
<br />
*[[UV Ozone Reactor]]<br />
*[[XeF2 Etch (Xetch)|XeF<sub>2</sub> Etch (Xetch)]]<br />
*[[Vapor HF Etch]]<br />
<br />
|}<br />
<br />
=Wet Processing=<br />
See the [[Chemical List|Chemical List page]] for stocked chemicals such as Developers, Etchants, Solvents etc.<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*[[Wet Benches]]<br />
**[[Solvent Cleaning Benches]]<br />
**[[Spin Coat Benches]]<br />
**[[Develop Benches]]<br />
**[[Toxic Corrosive Benches]]<br />
**[[HF/TMAH Processing Benches]]<br />
**[[Plating Bench]]<br />
| width="400" |<br />
*[[Gold Plating Bench]]<br />
*[[Critical Point Dryer]]<br />
*[[Spin Rinse Dryer (SemiTool)]]<br />
*[[Chemical-Mechanical Polisher (Logitech)]]<br />
*[[Mechanical Polisher (Allied)]]<br />
*[[Automated Coat/Develop System (S-Cubed Flexi)|Auto. Coat/Develop (S-Cubed Flexi)]]<br />
*[https://signupmonkey.ece.ucsb.edu/w/index.php?title=Wet_Benches#Automated_Wet-processing_Spinners_.28POLOS.29 Auto. Wet-Processing Spinners (POLOS)]<br />
|-<br />
|}<br />
<br />
=Thermal Processing=<br />
{|<br />
|- valign="top"<br />
| width="400" |<br />
*[[Rapid Thermal Processor (AET RX6)|Rapid Thermal Annealer/Processor "RTA" (AET RX6)]]<br />
*[[Rapid Thermal Processor (SSI Solaris 150)]]<br />
*[[Tube Furnace (Tystar 8300)]]<br />
*[[Tube Furnace Wafer Bonding (Thermco)]]<br />
*[[Tube Furnace AlGaAs Oxidation (Lindberg)]]<br />
*[[Wafer Bonder (SUSS SB6-8E)]]<br />
*[[Wafer Bonder (Logitech WBS7)|Wafer Bonder/Wax Mounting (Logitech WBS2)]]<br />
| width="400" |<br />
*[[Ovens - Overview of All Lab Ovens|Ovens - Overview of all Lab Ovens]]<br />
**[[Ovens 1, 2 & 3 (Labline)]]<br />
**[[Oven 4 (Thermo-Fisher HeraTherm)]]<br />
**[[Oven 5 (Labline)]]<br />
**[[Vacuum Oven (YES)]]<br />
**[[High Temp Oven (Blue M)]]<br />
|<br />
|-<br />
|}<br />
<br />
=Packaging=<br />
<br />
*[[Dicing Saw (ADT)]]<br />
*[[Flip-Chip Bonder (Finetech)]]<br />
*[[Vacuum Sealer]]<br />
*[[Wire Saw (Takatori)]]<br />
<br />
=Inspection, Test and Characterization=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
=====Optical Microscopy=====<br />
<br />
*[[Microscopes|Optical Microscopes]]<br />
*[[Fluorescence Microscope (Olympus MX51)]]<br />
*[[Deep UV Optical Microscope (Olympus)]]<br />
*[[Laser Scanning Confocal M-scope (Olympus LEXT)]]<br />
*[[Photo-emission & IR Microscope (QFI)|Photo-emission & Thermal IR Microscope (QFI)]]<br />
*[[Digital Microscope (Olympus DSX1000)|Digital Microscope #7 (Olympus DSX1000)]]<br />
<br />
=====Electron Microscopy=====<br />
<br />
*[[Field Emission SEM 1 (FEI Sirion)]]<br />
*[[Field Emission SEM 2 (JEOL 7600F)]]<br />
*[[SEM Sample Coater (Hummer)]]<br />
<br />
=====Topographical Metrology=====<br />
<br />
*[[Step Profilometer (KLA Tencor P-7)]]<br />
*[[Step Profilometer (Dektak 6M)]]<br />
*[[Atomic Force Microscope (Bruker ICON)|Atomic Force Microsope (Bruker ICON)]]<br />
*[[Surface Analysis (KLA/Tencor Surfscan)]]<br />
**''Sub-micron Particle Counter''<br />
*[[Laser Scanning Confocal M-scope (Olympus LEXT)]]<br />
| width="400" |<br />
=====Thin-Film/Material Analysis=====<br />
<br />
======Thickness + Optical Constants======<br />
<br />
*[[Ellipsometer (Woollam)]]<br />
*[[Optical Film Thickness (Filmetrics)|Optical Film Thickness (Filmetrics F20)]]<br />
*[[Filmetrics F40-UV Microscope-Mounted|Optical Film Thickness (Microscope-Mounted Filmetrics F-40-UV)]]<br />
*[[Optical Film Thickness (Nanometric)]]<br />
*[[Optical Film Thickness & Wafer-Mapping (Filmetrics F50)]]<br />
*[[Optical Film Spectra + Optical Properties (Filmetrics F10-RT-UVX)|Reflection/Transmission Spectra & Optical Film Thickness (Filmetrics F10-RT-UVX)]]<br />
<br />
======Other Properties======<br />
<br />
*[[Film Stress (Tencor Flexus)]]<br />
*[[Photoluminescence PL Setup (Custom)]]<br />
<br />
======Electrical Analysis======<br />
<br />
*[[Resistivity Mapper (CDE RESMAP)]]<br />
*[[Probe Station & Curve Tracer]]<br />
<br />
=====Other Tools=====<br />
<br />
*[[Goniometer (Rame-Hart A-100)|Goniometer (Ramé-Hart A-100)]]<br />
**''Surface hydrophobicity''<br />
|-<br />
|<br />
|<br />
|-<br />
|}</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=CAIBE_(Oxford_Ion_Mill)&diff=159175CAIBE (Oxford Ion Mill)2021-09-04T19:50:49Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=CAIBE.jpg<br />
|type = Dry Etch<br />
|super= Brian Lingg<br />
|location=Bay 2<br />
|description = CAIBE (Chemically Assisted Ion Beam Etcher)<br />
|manufacturer = Oxford Instruments<br />
|model = Ionfab 300 Plus<br />
|materials = Various<br />
|toolid=58<br />
}} <br />
==About==<br />
<br />
This is an Oxford Instruments PlasmaLab 300 IBE/RIBE/CAIBE system used for ion beam etching of a variety of materials including metals, oxides, semiconductors. Ion beam etching (IBE) allows control of sidewall etch profiles by tilting and rotating the sample during the etch. Reactive chemistry ("Chemically Assisted Ion Beam Etching", CAIBE) can be used, when appropriate, to enhance the etch rate of materials, such as oxides, polymers, and semiconductors. <br />
<br />
This system is used to physically ion beam etch noble and inert metals with Ar ion milling, and to etch other materials that react with chlorine, fluorine, or oxygen using a reactive ion beam. The ion beam is generated in a 15cm diameter 3-grid ion source manufactured by Oxford. The Ion beam voltage & current control the etch rate. Beam voltage (related to ion energy) affects the sputter yield (atoms etched per incident ion) and Ion beam current controls the flux of ions (number of ions in the beam). Etch rate should be roughly linear with beam current. Neutralizing electrons are generated by a plasma bridge neutralizer (PBN) so that samples are not charged by ions during the etch. Samples can be cooled to 5°C or heated to 300°C for etching. He back-side cooling is used to transfer heat from(to) the sample to(from) the cooled(heated) platen. <br />
<br />
===Cluster Configuration===<br />
The Ion Mill system is clustered with 2 Oxford ALD systems, allowing the process flexibility of etching followed by ALD passivation or metalization without breaking vacuum.<br />
<br />
*Chamber #1: [[Atomic Layer Deposition (Oxford FlexAL)|ALD Metal Films only]]<br />
*Chamber #2: [[CAIBE (Oxford Ion Mill)|CAIBE Oxford Ion Mill]] (this page)<br />
*Chamber #3: [[Atomic Layer Deposition (Oxford FlexAL)|ALD Dielectrics Films only]]<br />
<br />
==Detailed Specifications==<br />
<br />
*Etch gases include: CF<sub>4</sub>, Cl<sub>2</sub>, Ar, O<sub>2</sub><br />
*Cl<sub>2</sub> available in CAIBE mode (Cl2 not entering ion gun) through a gas ring.<br />
*RIBE (reactive gas entering ion gun during RF discharge) mode for all reactive gases<br />
*Low 1 E -7 Torr ultimate chamber pressure, etch pressure ~1 E-4 Torr<br />
*15cm ion-gun with PBN neutralizer<br />
*Angled etch control from 0 degrees (normal incidence) to 75 degrees.<br />
*Sample Rotated or fixed at controlled position for etching.<br />
*Vb from 50V to over 1000V<br />
*Ib up to 500mA<br />
*He-backside cooling<br />
*Substrate temperature 5C to 300C<br />
*Sample sizes:<br />
**6" wafer (no carrier needed)<br />
**4" wafer mount with backside Helium cooling ports<br />
**2" wafer mount with backside Helium cooling ports<br />
**35mm square pieces or smaller, mount with backside Helium cooling ports<br />
*Clustered through vacuum chambers with ALD systems.<br />
*Masking material depends on material being etched and etch gas used<br />
<br />
==Recipes==<br />
Recipes can be found on the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29 '''CAIBE Recipes Page'''].<br />
<br />
==Procedures & Documentation==<br />
* [//wiki.nanotech.ucsb.edu/wiki/images/5/52/Cluster_operating_instructions.pdf Cluster Operating Instructions] - same instructions as ALD, except for the '''following difference''':<br />
** ''Make sure to securely attach your samples to the platens with clips, since the holder will be angled and rotated!'' ''6-inch wafers can be loaded as-is.''<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/7/75/Ion_Beam_Etch_Overview_rev1.pdf Additional Documentation]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Dicing_Saw_(ADT)&diff=159174Dicing Saw (ADT)2021-09-04T19:50:37Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ADT.jpg<br />
|type = Packaging<br />
|super= Lee Sawyer<br />
|location=Dicing Room: ESB 1147<br />
|description = ADT Dicing Saw<br />
|model = ADT 7100<br />
|manufacturer = Advanced Dicing Technologies Ltd.<br />
|materials = <br />
|toolid=49<br />
}} <br />
== About ==<br />
The ADT 7100 Dicing Saw is optimized for multi-angle dicing of thin, tight tolerance products up to 200 mm x 200 mm. It is currently setup for dicing up to 8” diameter wafers. Check the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Packaging_Recipes#Dicing_Saw_Recipes_.28ADT_7100.29 Dicing Saw Recipes page] for the blades we currently stock.<br />
<br />
An ADT WM-966 tape applicator & Ultron Systems UH104-8 UV lamp system is used to apply UV-release tape for securing die during dicing.<br />
<br />
Contact the tool supervisor for blades and dicing frames for your group.<br />
<br />
== Detailed Specifications ==<br />
<br />
== Operating Procedures ==<br />
* [https://wiki.nanotech.ucsb.edu/w/images/1/12/ADT_Dicing_Saw_SOP_Rev_C.pdf ADT Dicing Saw Standard Operating Procedure]<br />
* [[ADT 7100 - Initial Setup Before Cutting|Setting up the Saw before Cutting]] - Disregard and refer to SOP above<br />
* [[ADT 7100 - Standard Dicing Procedure - Programmed Cut Map|Standard Dicing Procedure - Programmed Cut Map]]<br />
* [[ADT 7100 - Standard Dicing Procedure - Single Cuts|Standard Dicing Procedure - Single Cuts]]<br />
* [[ADT 7100 - Recovering an Old Recipe (2019)|Recovering an Old Recipe]]<br />
<br />
<br />
== Recipes ==<br />
* Recipes > Packaging > [https://wiki.nanotech.ucsb.edu/w/index.php?title=Packaging_Recipes?venotify=created#Dicing_Saw_Recipes_.28ADT_7100.29 '''Dicing Saw Recipes (ADT 7100)''']<br />
Be sure to also see the recipes for protecting your sample from dicing dust, and mounting/unmounting.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Lab_Rules&diff=159173Lab Rules2021-09-04T19:50:36Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div><!-- force numbered headings -->__NUMBEREDHEADINGS__<br />
<br />
'''<u>UCSB Nanofabrication Facility Policy, Guidelines and Chemical Hygiene Plan</u>'''<br />
<br />
''Use the '''''Table of Contents''''' below, or your browser's '''''Find''''' function to find a particular policy, or consult the '''''[[Frequently Asked Questions]]''''' which also links to common topics.''<br />
<br />
''Save/print this document with your web browser's '''Print > Save as PDF''' function.'' <br />
<br />
==Summary==<br />
While working in the Nanofab, you are surrounded by hazardous chemicals and gases, high voltages, radiation, and mechanical systems. It is impossible to define a policy for every conceivable situation. <br />
<br />
'''The responsibility lies with users and staff to act in a professional, courteous, and safe manner at all times while in the facility.''' The Nanofab culture relies on self-discipline to follow policies, respect for each other, and careful considerate treatment of facility property that is needed for project success. Everyone’s work is equally important and the basic rule of treating others how you would like to be treated sets the framework for many policies in the facility. As a group we expect all users and staff to help maintain the safety and integrity of the Nanofab. <br />
<br />
The Nanofab is not a teaching lab or a course in processing. The users are expected to have prior cleanroom experience and understand any chemistry or process used. All users should employ common sense and a high degree of prudence while working in this facility. Users violating the operating and safety rules of the facility or endangering the safety of themselves or other users may be denied further access to the facility.<br />
<br />
This document attempts to define acceptable actions and behavior for the users of the Nanofabrication facility and contains extensive safety related information on common hazards and work practices and procedures within the facility. <br />
<br />
<!--Force Table of Contents below Summary-->__TOC__<br />
<br />
=='''General Nanofab Information'''==<br />
<br />
===Nanofab Prerequisite===<br />
New Nanofab student users should have taken one of the UCSB process courses listed below before they can gain access to the lab or have demonstrated cleanroom experience. Cleanroom experience can be either work experience, or laboratory experience associated with a thin film process. '''It is the responsibility of all external users to have the proper training, both in general lab safety and the appropriate lab experience provided from their own institution.''' Experience exceptions are at the exclusive discretion of the operational director of the facility.<br />
<br />
*EE220A (also known as MATRL215A)<br />
*EE 220C (also known as MATRL215C)<br />
*ME 141B<br />
*ME 292<br />
*EE 120A<br />
<br />
===UCSB Laboratory Hazardous Assessment Tool (LHAT)===<br />
Since there are multiple users of the facility, with their own unique projects, the management of the facility cannot be responsible for overseeing all aspects of their work. Therefore, the supervisors of individuals using the facility, e.g., UCSB '''Principal Investigators (PIs) and external user PIs or managers are ultimately responsible for ensuring that their supervisees have the appropriate knowledge and training to work safely in the facility.''' They are also responsible for ensuring that all applicable regulatory requirements are met. This includes having a compliant "'''Chemical Hygiene Plan" per OSHA regulations'''. The information in this document and on the [https://www.nanotech.ucsb.edu/wiki UCSB Nanofab wiki site], https://www.nanotech.ucsb.edu/wiki, should be considered as providing "general supporting information" to the Chemical Hygiene Plan of a particular supervisor. Supervisors can reference all or some of this information within their Plans, but this document does not constitute a complete plan. UCSB EH&S can provide assistance to supervisors in preparing their Plans. <br />
<br />
===Chemical Bench Use===<br />
There is a mandatory wet bench training that every user needs to attend before accessing any of the chemistry or wet benches, including simple rinsing with water. Please contact Aidan Hopkins for training, hopkins@ece.ucsb.edu. <br />
<br />
===Lab Storage of User-Specific Materials ===<br />
<br />
====Rules and allocation====<br />
[[File:Lab Rules - 1.4.1 shelf space.png|thumb|170x170px]]<br />
All Nanofab storage shelf space and the blue containers are controlled by the Nanofab. It is not open use. Please contact Tony Bosch (bosch@ece.ucsb.edu) for new or additional shelf space. It is assigned by group or company. There is a finite shelf space so please first check within your own group to make sure shelf space is optimized and old users’ boxes have been removed or re-assigned before contacting Tony. Extra blue bins, lids and dividers are stored in the gowning room for use. '''Please do not use any other boxes on the shelves except for the blue bins provided by the Nanofab. If boxes are left out or stored on the wrong shelves they will be removed from the lab. Additionally, the Nanofab is not a long term storage facility and users should regularly review what they and their groups are storing in the lab so that items no longer needed can be removed to make room for others that may need the space.''' <br />
<br />
A user’s job in the facility is not complete until all user-specific materials have been cleaned up and put away. All users are given space to store user-specific materials within the facility in a neat, organized fashion. In order to have space for everyone to work, all users must clean up their personal items and store them before leaving the lab for any time longer than a 30-minute break. Storage boxes found without the user being in the lab will be removed from the lab and stored in a secure location. The group/user name will be placed on a list in the facility that users can check to determine if their box has been taken by staff. The current location for this list is on the cabinet in service chase 4. Users will need to contact a staff member to retrieve boxes. There will be a charged fee of 30 minutes of staff time for each incident and this will be noted on the invoice. For continued violations there will be an escalation of consequences: <br />
<br />
1<sup>st</sup> offense: fee<br />
<br />
2<sup>nd</sup> offense within 12 months: fee <br />
<br />
3<sup>rd</sup> time offense within 12 months: fee and warning of impending suspension<br />
<br />
4<sup>th</sup> time offense within 12 months: fee, 2 day suspension, and supervisor notification<br />
<br />
5<sup>th</sup> time offense within 12 months: fee, minimum 3 days suspension, and personal discussion with user and supervisor.<br />
<br />
====Dry-Box/Dessicator====<br />
[[File:Lab Rules - 1.4.2 dry box.png|thumb|153x153px]]<br />
There is a large desiccator located in the main corridor close to Bays 6-7 along the wall. Users can store any sensitive materials in the desiccator. '''It will need to have the group identification, user contact info and date on each container'''. Each user/group must clean out old or unused material. Occasionally the staff will announce and clean out the cabinet of outdated materials.<br />
<br />
====ASML Mask Storage====<br />
[[File:Lab Rules - 1.4.3 ASML masks.png|thumb|161x161px]]<br />
There is also shelf space for ASML mask plates behind the ASML Stepper. '''Only ASML masks can be stored on that shelf'''. All other photo-masks need to be stored in your blue containers or removed from the lab when not in use. You will be allowed to use the ASML shelf after official training on the ASML stepper. The shelf space is controlled by Demis D. John, [[Demis D. John|demis@ece.ucsb.edu]]. The Nanofab is not a long term storage facility. When a mask set will no longer be used, the users are responsible for removing these masks from the facility and storing them at another location. If items need to be kept clean, users should bag them in sealable bags before taking them out of the cleanroom.<br />
<br />
===Lab Suspensions===<br />
The lab has been operating for many years. Over this time it has been determined that there exist some fundamental rules and procedures that must be maintained to provide both a safe and productive environment. While it does not happen often and we do not want to prevent users from completing their work we have found that suspensions from the lab are sometimes necessary and must be taken seriously. The lab relies on an honor system as staff can’t be there at all times to maintain compliance. While working in the lab, we rely on users to follow all written and oral procedures (even if inconvenient) and to treat others with respect, honoring both the person and their work as you would your own. The lab has a large user base of more than 600 active users annually. Most infractions have warnings first and this is most often all that is needed. The goal of suspensions is to change behavior to conform to the rules and policies set forth in this document. Suspension durations will escalate until behaviors are changed to conform to the facility rules. Continued refusal to follow the facility policies and guidelines may result in eventual expulsion from facility use. PPE and other human safety violations at the wet benches should be expected to result in an immediate suspension. The duration of any suspension and any further escalation will be determined by the laboratory management after consideration of the events. At the exclusive discretion of the operational director, in addition to or in lieu of suspension, we may require a re-reading of this policy and the taking of a refresher quiz for violations. '''We will contact your PI or supervisor to acknowledge any suspension'''. Please be aware of this policy. If you are found in non-compliance with any policy it is your fault alone and no one else’s. You should handle this in the appropriate manner and correct your own behavior. <br />
<br />
===Iris Camera and Card Access System===<br />
[[File:Lab Rules - 1.6 iris scanner.png|thumb|97x97px]]<br />
The Iris Camera and Card Access System provides security to the Nanofab, and allows tracking of the time each user spends in the Nanofab. '''Always scan in when entering the lab and scan out when exiting the lab, even when attending training sessions or performing beneficial work.''' The only exception to this rule is entering as a visitor as defined in the visitor policy below. '''Willful Violation this policy will result in suspension.''' This policy is both for safety (knowing who is in the lab in case of emergencies) and for fair, accurate billing of facility resources. <br />
<br />
===Visitor Policy ===<br />
<br />
====Badges====<br />
Visitor badges can be obtained from the staff during the normal weekday hours and removes any confusion about the shadowing of another user without logging in. As a nonpaying person, a visitor can’t touch any equipment, computers or chemicals in the lab. We offer this policy as a courtesy so please do not abuse it as it can also be removed. The visitor badge must be worn outside the bunnysuit and be clearly visible at all times. <br />
<br />
====Shadowing====<br />
We encourage new users to shadow current users as a visitor to become familiar with the Nanofab before beginning actual work. To accomplish this, registered users may come in as visitors, with a badge, in order to watch and learn how processes are done. A logged-in user and visitor may not exchange roles while in the cleanroom together. Only the actively logged-in user may operate/touch/use any equipment in the laboratory. No exceptions. <br />
<br />
====Visiting Researchers====<br />
Professional, non-registered visitors such as research collaborators or new students in a research group can be brought into the lab to observe with the permission of laboratory staff during normal operating hours (8am-5pm M-F). Off-hours permission to bring in professional visitors will be evaluated on request by the operational director or co-manager. <br />
<br />
====Non-professional visitors====<br />
Non-professional visitors such as family members and friends can be brought into the lab during normal hours (8am-5pm M-F) only with permission of the operational director or co-manager. In general, these types of visits can be most effectively done looking through the windows of the long South hallway. <br />
<br />
====Visitor Rules====<br />
Any official user can bring in a visitor in to the Nanofab under the guidelines given above to observe the lab operation. The official user is wholly accountable for the visitor’s safety, correct gowning and adherence to the visitor policy. Please do not take this privilege lightly as we don’t want anyone to be injured while in the lab as a visitor. <br />
<br />
====Groups====<br />
Any “group” tour (3 or more people) inside the facility needs prior approval of the operational director or co-manager. Please give ample time when requesting. <br />
<br />
====Violations====<br />
The Nanofab relies on the honor system and the visitor “observation only” policy is clear. '''If users are caught violating the visitor policy and operating/handling anything in the lab while being a visitor both the official user host and the visitor will be suspended from the lab without exception.'''<br />
<br />
===Credit for Beneficial Work in the Nanofab===<br />
<br />
====Rules for Credit====<br />
[[File:Lab Rules - 1.8.1 beneficial time sheet.png|thumb]]<br />
You can receive a time credit for each hour of beneficial time given to the facility. The time you are in the lab for the beneficial time is subtracted from the invoice and an additional benefit of 0.5 hours for each hour is given as a credit. Beneficial time includes:<br />
<br />
#performing maintenance on lab equipment,<br />
#conducting training sessions for other groups (not for your own research group), or<br />
#any time spent performing work beneficial to the general operation of the lab.<br />
<br />
Please indicate time spent performing beneficial work on the sign-up sheet just inside the gowning room, with a description of what you did/who you worked with. The lab director will ultimately determine if the credit is given.<br />
<br />
====Determining Nanofab beneficial lab time credit====<br />
The two common ways for logging beneficial time: <br />
<br />
#If you help staff with Nanofab related work such as maintenance, construction or cleanup of equipment or the lab. <br />
#If you happen to help/train someone outside of your group, unplanned, while you are logged in to the Nanofab. Please review the below paragraphs to understand other situations. <br />
<br />
====Training within your group====<br />
When you are training a group member or any other user, the correct way to enter the Nanofab is to log in and then take the user in as a visitor with a Visitor Badge. We assume that you are training while you are performing your own work and the other user is <u>only observing</u>. Only one user can be using the equipment and that user should be charged for their use. <br />
<br />
*'''This should not be logged as beneficial time as the visitor is not charged.''' <br />
<br />
The other method would be for the new user that is being trained to log in and you will go in as the visitor. This will allow the new user to handle and operate systems while you observe his use as the visitor and give verbal guidance. <br />
<br />
*'''This should not be logged as beneficial time as the visitor is not charged.''' <br />
<br />
===User Responsibilities regarding Housekeeping===<br />
<br />
====Work Surfaces====<br />
Leave all workspaces (wet benches, table tops, and system work surfaces, etc.) '''clean''', '''dry, and organized'''. This includes cleaning up all spills and residues, storing glassware and chemicals, throwing away used wipes and Aluminum dishes, etc. <br />
<br />
If a workspace is not clean when you start a task, you still have the responsibility to leave it clean. <br />
<br />
'''If you leave any workspace in disarray, or leave an unlabeled container on any workspace, you can be suspended from the Nanofab.''' Please kindly remind other users to clean up after themselves if you see them leaving a mess and to label their containers when needed. <br />
<br />
====Wet Benches====<br />
For wet benches in particular: Do not cover the exhaust holes on the bench tops with wipes or foil, as this will impede the laminar flow of air through the bench and possibly expose you or other Nanofab users to toxic fumes. Glassware may be left to dry on the racks or, for large containers, at the back of the bench only while you are working in the lab. When you leave the lab, you are responsible for drying and putting away all of your glassware. A user’s job in the facility is not complete until all user-specific materials have been cleaned up and put away. Glassware left out to dry after you leave the lab for the day may be confiscated and put into a secure location for a time, before being disposed of. The group/user name will be placed on a list in the facility that users can check to determine if their materials have been taken by staff. The current location for this list is on the cabinet in service chase 4. Make sure your glassware/wafer holders have a group name written on them. Items with no user or group name are subject to disposal. If you ask staff to retrieve your glassware and it is found, your PI/advisor/supervisor will be charged a fee of 30 minutes of staff time and this will be noted on the invoice. For continued violations there will be an escalation of consequences:<br />
<br />
1<sup>st</sup> offense: fee<br />
<br />
2<sup>nd</sup> offense within 12 months: fee <br />
<br />
3<sup>rd</sup> time offense within 12 months: fee and warning of impending suspension<br />
<br />
4<sup>th</sup> time offense within 12 months: fee, 2 day suspension, and supervisor notification<br />
<br />
5<sup>th</sup> time offense within 12 months: fee, minimum 3 days suspension, and personal discussion with user and supervisor. <br />
<br />
====Sharps Disposal====<br />
[[File:Lab Rules - 1.9.3 glass sharps waste.png|thumb|104x104px]]<br />
The sharps bins located around the lab should be used for any material, supplies, broken beakers, syringe tips, etc. that would penetrate through trash bags and possibly injure one of the custodians while they empty the waste. <br />
<br />
===Nanofab Paper and Cardboard===<br />
[[File:Lab Rules - 1.10.1 supplies racks NanoFab paper.png|thumb|133x133px]]<br />
The only paper allowed in the lab is cleanroom type paper. We provide/stock cleanroom paper, cleanroom notebooks and binders in the gowning room. You can laminate regular paper for use in the lab. Use pens, not pencils, for writing in the lab. No cardboard is allowed in the nanofab. <br />
<br />
===NanoFab Wipes & Napkins===<br />
We stock four types of wipes in the lab:<br />
<br />
====S/Pec-Wipe 3====<br />
This is a cellulose/polyester blend with high absorption but medium particle and fiber generation. <br />
<br />
====Berkshire Poly1200====<br />
This is a 100% knit polyethylene wipe with low particulate generation, but also with relatively low absorption. (This kind of wipe is expensive and only available upon special request) <br />
<br />
====PRO-STAT====<br />
This is a cellulose blend presaturated with isopropyl alcohol and DI water. <br />
<br />
====BIOHAZ====<br />
These are used to line photoresist spinner catch bowls. <br />
<br />
====Rules for Napkins and WIpes====<br />
<br />
#Use wipes sparingly. They should not be treated like paper towels. Take the few extra moments to '''only grab the number you really need'''. This reduces a lot of unnecessary waste & cost.<br />
#Do not place wipes on the sink trays or sink bottoms. <br />
#Dispose of used wipes in the proper manner. Wipes that have Photoresist or solvents on them should never be thrown in the trash, but should be placed in the proper waste bins located in the backs of the exhausted benches. <br />
<br />
==='''Preparing Equipment for Entry into the Nanofab'''===<br />
All equipment entering the lab must be clean. The procedure for cleaning equipment for entry is: <br />
<br />
====Large Equipment====<br />
<br />
#Vacuum while equipment is outside the lab. <br />
#Wipe down all accessible surfaces with propanol using Nanofab wipes or presaturated propanol wipes while equipment is outside the lab. <br />
#Move equipment into the lab <br />
#Vacuum with HEPA filtered vacuum cleaner when inside the lab. <br />
<br />
====Small Equipment====<br />
<br />
#Blue boxes, laptops, cell phones, tablets, etc. can be wiped down with the presaturated alcohol/DI water wipes in the gowning room before entering, if dirty. <br />
<br />
===Maintenance Ways===<br />
<br />
#[[File:Lab Rules - 1.13.1 maintenance chase doors.png|thumb|187x187px]]You may enter maintenance ways ("Chases") without wearing a bunnysuit from outside the Nanofab, or while wearing a bunnysuit from inside the Nanofab. <br />
#In general, there are no user-serviceable facilities in the serviceways. Unless instructed otherwise by NanoFab staff, no user shall adjust or address anything in the serviceways, including all regulators, gas bottles, valves, electrical power systems, exhaust dampers, backing pumps, chillers, etc. Staff should be called in the case of issues in the serviceways. <br />
<br />
===Notes on Particle Counts in the Nanofab===<br />
<br />
#The Nanofab has historically been surveyed with a particle counter, with generally good results. All laminar flow, wet bench work surfaces have extremely low particle counts, better than class 10. The open areas in the lithography area are all better than class 100, and mostly better than class 10. The remainder of the Nanofab tests better than class 1000, and often better than class 100. The Nanofab design specifications stipulated class 100 for litho, class 1000 for everything else. The Nanofab is easily meeting these specs. <br />
#[[File:Lab Rules - 1.14.2 face masks.png|thumb|129x129px]]The effectiveness of facemasks was investigated by placing the particle counter directly under a person’s neck while the person moved his head back and forth. Facemasks reduced particle counts, from the equivalent of class 500 without masks to class 100 with masks. Again, this was sampling just below the neck, not at typical substrate surfaces. If you need to inspect your parts very close to your face for any reason, you should consider using a face mask. There are two types of facemasks provided in the gowning room. Please choose the appropriate mask for your requirements. <br />
#The choice of wipes used in the Nanofab has a more pronounced effect on particle counts. In general, wipes trade absorbency for low particle generation. The Spec-Wipe 3 is the stocked polyester/cellulose blend, high absorbency wipe designed for use in class 100 cleanrooms. Dragging the sampling tube across the surface of a Spec-Wipe 3 resulted in a class 1000 level particle counts. The Berkshire Polx 1200 wipe is the stocked low particle count, 100% knit polyester wipe. This wipe is far less absorbent than the Spec-Wipe 3, but generates fewer particles. Dragging the sampling tube across the surface of a Berkshire Polx 1200 wipe resulted in lower than class 100 level particle counts. The main point to note is that abrasion of fabric surfaces results in higher particle counts. When processing, try not to scrape the wipes while handling your parts. <br />
<br />
===Procedure for the Use and Handling of Precious Metals===<br />
<br />
#All precious metals (as well as other materials paid for by the Nanofab) are the property of the Nanofab. They are not to be used for any other purpose than for use within Nanofab systems. The materials must remain in the Nanofab at all times.<br />
#Gold, platinum, palladium, and various alloys of these metals are stocked by the UCSB Nanofab and are provided for in the hourly recharge fee. Each research group can be issued a precious metal supply. You should check with your group first before contacting the Nanofab. Please contact the Nanofab Director for precious metals, thibeault@ece.ucsb.edu.[[File:Lab Rules - 1.15.2 metals lock box.png|thumb|121x121px]]<br />
#This supply must be stored in a small lockable container, along with a log sheet for recording the use of these metals. Precious metals, the lockable security container, and log sheets will be issued by the Nanofab manager. All use of precious metals must be accurately recorded on the log sheet, and include user name, date, metal type, metal weight before use, and metal weight after use. '''Any discrepancies, such as over use or loss of the precious metals will be invoiced to the responsible group/company. Loss of a precious metal lock box will be reported to the local UCSB police and will elevate the issue as this is considered theft and a considerable amount of money.'''Please make sure you are careful with your metal supply as you would be with your own money. <br />
#Every group is responsible for keeping their supply of precious metals up to date and full. But since all users are sharing the same lab supply of provided metals it is fine to share metals between groups if there are extenuating circumstances that prevented a group from having sufficient precious metal supply. It must be correctly noted in the other group’s log sheets that metal was used.[[File:Lab Rules - 1.15.4 metals weighing scale.png|thumb|151x151px]]<br />
#Multiple digital scales have been acquired and placed throughout the Nanofab to facilitate weighing of these metals. When requesting additional precious metals, the completed log sheet must be presented to the Nanofab manager. Any discrepancies or loss in recorded precious metal use will result in charges to the principle investigator/company corresponding to the value of the precious metal missing. <br />
#Any loose precious metal lock boxes left out or any loose unmarked precious metals found in the lab either in a plastic bags, tins or individually laying on the floor should be returned to the lab manager for reclaim. <br />
<br />
===Surveillance Cameras===<br />
[[File:Lab Rules - 1.16 surveillance camera.png|thumb]]<br />
Human and equipment safety is very important in the Nanofab. The Nanofab uses a full facility 24-hour camera recording system to improve safety within the laboratory. Up to 14 days of footage is recorded on 18 cameras. This recorded footage is regularly checked at various locations for safety violations. The footage may also used when violations of safety and policy are reported by users. Users observed to be violating policies on the recordings will be contacted by the management for further discussions.<br />
<br />
=='''Facility Equipment Policies'''==<br />
<br />
===Getting Trained on Equipment===<br />
The systems in the nanofabrication facility require operational training before authorization. Please contact tool owners for training as indicated on signupmonkey (described later) or on the lab wiki. During training on a piece of equipment, users should take notes and pay attention to all instructions given. Many systems have basic operating procedures to remind you of operation, but may not contain all of the information you need to successfully operate the system. Users are responsible for following written and oral instructions for the equipment. If you are unsure about any aspect of operation of a system after training, please ask the engineer or senior users of the equipment for assistance. Please do not be embarrassed to ask for more details about training. It is much more important to understand the systems as we want to prevent failures. Some operation failures will prevent many users from being able to finish their work, take systems down for extended periods, and cost the lab money for repairing the damage to the tool. Occasionally we will need to share the cost of the repair with the group/company that damaged the system, if pure negligence is determined as the cause. <br />
<br />
===Machine sign-up and use===<br />
Most systems require web-based sign-up. (<nowiki>http://signupmonkey.ece.ucsb.edu,see</nowiki> below) for reservations. <br />
<br />
#There is a 15 minute grace period for you to use your time slot or someone else is allowed to use the system. If you don’t show for your time slot then any user can take all of your consecutive time slots not just that specific slot. It is the registered user's responsibility to make sure there is a note at the tool if they will show up later than 15 minutes before the beginning of their time slot in order to prevent another user from taking the reservation times for themselves on the system. <br />
#If you show up to a system and the scheduled user is not there, but you want to use the system, you need to first attempt to contact the user. Phone numbers and emails are accessible on signupmonkey. You should also look around the lab to see if the person is present as they may be doing something like etch/characterization cycles that require them to step away from the system for short times. Only after making reasonable effort to contact the user may you take the slots at the 15-minute mark after the start of the reservation. <br />
#Schedule enough time for all procedures you need to perform so that you will not encroach on the next user’s time slot. This includes all pre-and post-cleaning of the tools, where applicable. '''This is every user’s responsibility and will result in suspensions if you abuse the system.''' The next user is not responsible for your scheduling issues. Do not take “extra” slots to buffer around your schedule, instead give yourself adequate time between process steps to accommodate difficulties. The Nanofab staff tracks and resolves non-shows and users that abuse the system. <br />
#It is mandatory to fill in the appropriate information in the log books for each piece of equipment in the lab. This is often the only record of actual use and is necessary for any troubleshooting of equipment issues. '''Willful non-compliance or repeated failures will result in suspensions.'''<br />
<br />
==='''Machine error response and reporting issues'''===<br />
[[File:Lab Rules - 2.3 equipment maintaner contact sign.png|thumb|178x178px]]<br />
Contact information for each responsible staff engineer is found directly above the tool, on signupmonkey, or on the wiki page. There is also a general mail list, nanofab@ece.ucsb.eduto all cleanroom staff for general questions or problems. The staff engineer will give training and post procedures for tool operation. Without proper training or posted procedures, users may not clear out errors or try undocumented procedures to get samples out of machines. They must contact the responsible engineer for assistance. All errors and issues must be entered in the tool log books. <br />
<br />
#All issues, whether or not they are your fault, need to be propmptly reported to the system engineer. Mistakes are tolerated and may result in required retraining, but '''hiding mistakes is immediate grounds for lab suspension.'''<br />
#'''System Alarms''': If a system alarms, the responsible engineer should be contacted for assistance, either by cell phone if at a reasonable time or by email. Do not attempt to fix the problem unless you have training from the responsible engineer. Sometimes after normal staff working hours machines will be down until the next working day. Please note in the log books that there is a problem with the tool and that the staff has been contacted.<br />
#'''Software problems:''' Unless written directions are given at the system or training given by the responsible engineer, contact the system engineer by phone and email regarding software problems. Do not restart the computer unless instructed to do so.<br />
#When the engineer cannot be reached immediately by phone, please use the “'''Report Tool Issue'''” button in signupmonkey to report the issue so that the nanofab staff and subsequent users will be made aware of the issue. For tools not on signupmonkey, send email to [[Mailto:nanofab@ece.ucsb.edu|nanofab@ece.ucsb.edu]] to report problems in case the tool engineer cannot be reached quickly.<br />
<br />
===Equipment Maintenance===<br />
All equipment is maintained by the professional staff. Users are not allowed to remove panels or alter subsystems within the machine unless directed to by staff. Significant electrical dangers including high voltage and high power RF exist within the panels of most machines. <br />
<br />
===Preventative Maintenance===<br />
This is done regularly on most tools to “reset” the tool to acceptable performance levels. If you believe a tool is not performing properly, please contact the responsible engineer and process support staff to discuss the problem. <br />
<br />
===Hotplate use===<br />
We have many hot plates in the facility used for a variety of applications. <br />
<br />
#[[File:Lab Rules - 2.6.1 spinner bench hotplates.png|thumb|195x195px]]Hot plates used for heating chemicals must be attended. This means you must be in the Nanofab and monitoring the hot plate whenever it is in use. This does not apply to PR baking hotplates that are built in to the PR spinner benches or to hotplates in the solvent benches used for heating photoresist strippers. <br />
#The nine built-in PR baking hotplates are preset at certain temperatures. They should not be changed unless you are directed by Nanofab staff. There are other freestanding hotplates to be used for custom temperatures. Please contact staff if you have any questions.<br />
#Every group/company should have a temperature measuring device (thermometer, thermocouple or bi-metal sensor) to check hotplate temperatures. We check and calibrate the built-in, lift-pin, and the large wafer round hotplates weekly. But hotplates can fail or users may wrongly change standard temperatures so every user is accountable for verifying hotplate temperature before use. Please contact staff, hopkins@ece.ucsb.eduor day@ece.ucsb.eduwhen hotplates have failed or are out of specifications.<br />
#The hotplates cannot be reserved. Please be conscientious about your hotplate use. Everyone needs to use them often so if you have a unique process that requires long baking or heating, contact Nanofab staff for a long term solution.<br />
#All heated beaker chemistry must be covered by a watch glass or some type of cover (foil or custom cover). You can cut handles of wafer/piece carriers to be below the beaker cover or notch them to have a handle protrude thru the cover. '''There are no exceptions to this rule.'''<br />
#Heated chemicals are more reactive and hot plates should be kept towards the back of the benches to minimize the possibility of being knocked over accidently and to minimize the possibility of exposure if a beaker breaks/cracks or is spilled while heated. <br />
#Please leave hotplates in a clean condition. Do not contaminate the hotplate surface with solders, resists, low melting point metals or any other material that would jeopardize other user’s materials. It is every user’s responsibility to clean or check hotplates before and after use for any issues. A few extra minutes of cleaning up after ourselves makes the lab a better place for everyone to work. <br />
<br />
=='''Visual and Audible Alarms in the Nanofab'''==<br />
<br />
==='''Fire Alarm'''===<br />
[[File:Lab Rules - 3.1 fire and gas alarms.png|thumb|281x281px]]<br />
A fire alarm is indicated by a white strobe light on red boxes marked fire and sound off with a high volume audible alarm. These are located throughout the Nanofab. If this alarm activates, immediately leave the Nanofab through the nearest exit and proceed to exit the building. Do not take care of your samples, do not keep working in any way, and do not remove your bunnysuit until you are outside the building.<br />
<br />
==='''Toxic Gas Alarm'''===<br />
A toxic/flammable gas alarm is indicated by blue strobe lights on gray boxes and sound off with a high volume audible alarm. These are located at both ends of each bay. There are two levels for this alarm, the Single Bay Toxic Alarm (low-level) and the All Bays Toxic Alarm (high-level), which are set based on health and explosion limits of the particular gases being detected. The detection satellites for these gases are located in various locations in the vicinity of the equipment that use these gases <br />
<br />
==='''Single Bay Toxic Alarm'''===<br />
For a Single Bay Toxic Alarm, the blue strobe and audible alarm will only be active in one bay. Under these conditions, users may not remain in or enter that bay until the staff have isolated the issue and allow users back in the bay. Users may continue to use the rest of the Nanofab.<br />
<br />
==='''All Bays Toxic Alarm'''===<br />
For an All Bays Toxic Alarm a high level of dangerous gas has been detected. All blue strobes and audible alarms will be active in all bays. When this occurs, all users are to immediately leave the laboratory, and then the building, through the closest exits. Do not take care of your samples, do not keep working in any way, and do not remove your bunnysuit until you are outside the building. <br />
<br />
==='''Wet Bench Alarms'''===<br />
[[File:Lab Rules - 3.3 wet bench overhead controls.png|thumb|243x243px]]<br />
Small illuminated visual alarms with buzzers. Each wet bench may have multiple labeled alarms. You must follow proper response if you see or hear a wet bench alarm.<br />
<br />
====Pump Lift station alarm====<br />
If the pump lift station alarm is activated all water will be shut off to the bench. Contact Nanofab staff.<br />
<br />
====Exhaust Alarm====<br />
If the lighted/audible red exhaust alarm indicator located on the upper bench panel is activated then you must leave the bench area. The bench is no longer safe to work at due to low exhaust levels. If all bench exhaust alarms are activated then you must leave the Nanofab. This condition usually indicates one or more of the lab exhaust fans has failed. This will make the entire lab un-safe for occupancy. De-gown as you would normally while exiting the Nanofab. Contact Nanofab staff.<br />
<br />
===Equipment Alarms===<br />
Please notify supervisor of tool if equipment alarm is activated using phone, personal email, or nanofabstaff@ece.ucsb.edu.<br />
<br />
===Re-entering the lab after evacuation===<br />
Users may re-enter the facility after an evacuation condition only after the laboratory facility manager has authorized re-entry. No exceptions. <br />
<br />
=='''Emergencies and First Aid'''==<br />
<br />
==='''Personal Injury – Chemical'''===<br />
'''If you have been exposed to a toxic substance or gas, if appropriate rinse in one of the emergency showers for a minimum 15 minutes.''' <br />
<br />
'''In all cases, quickly get to the emergency room at Goleta Valley Hospital located at 351 South Patterson, Santa Barbara.'''<br />
[[File:Lab Rules - 4.1 map to cottage hospital.png|none|thumb|489x489px]]<br />
<br />
==='''Emergency Showers/Eye Wash'''===<br />
[[File:Lab Rules - 4.2 eye wash and shower.png|thumb]]<br />
Emergency showers and eye wash stations are located at the south end of all bays. An enclosed emergency shower is located in Bay 5 beside the wet etch benches. There is a clean Nanofab gown in the shower and tubes of calcium gluconate for any HF exposure. A first aid kit is located in the gowning room, and on the south wall (towards <br />
<br />
the windows) at the end of bays 2, 4, and 6. <br />
<br />
==='''AED (automated external defibrillator)'''===<br />
[[File:Lab Rules - 4.3 AED.png|thumb]]<br />
There is an AED (automated external defibrillator) in the gowning room next to the entry door. It is a portable electronic device that automatically diagnoses the potentially life threatening cardiac arrhythmias of ventricular fibrillation and ventricular tachycardiain apatient and is able to treat them through defibrillation, the application of electrical therapy which stops the arrhythmia, allowing the heart to reestablish an effective rhythm. This type of heart condition is commonly caused by electrical shock. The gowning room also has a CPR kit, first aid kit and ear plugs by the entry door. <br />
<br />
==='''Chemical Spills'''===<br />
[[File:Lab Rules - 4.4 supplies rack chemical spill cleanup.png|thumb]]<br />
'''If unsure, Always assume a liquid on the floor could be a hazardous chemical. Always verify the pH of an unknown floor spill with the pH strips stocked on the wire racks in bays containing wet chemistry.''' <br />
<br />
For small spills, use the appropriate chemical spill clean-up kit, yellow hazardous bag and EH&S tags, place bag into chemical waste storage cabinet located in service chase 5. Chemical spill clean-up kits and yellow hazardous bags are located on the wire shelving in each bay containing a wet bench. After using a spill cleanup kit, please inform the Nanofab staff. For large spills, immediately contact the Nanofab staff and evacuate people from the area. If off hours, please remain at Nanofab entrance until on call staff arrive and prevent users from entering the Nanofab <br />
<br />
==='''Lab Fire'''===<br />
[[File:Lab Rules - 4.5 fire extinguisher.png|thumb]]<br />
In the case that a lab fire arises at any bench or piece of equipment, immediately hit the Emergency Power Off (EPO) button for the bench/equipment. You may then grab a fire extinguisher and put out the small fire. Halotron fire extinguishers are located on the south end of each clean bay, and the north end of each maintenance chase. Halotron is rated as an ABC extinguisher. It is used on electronic equipment because it leaves no residue. You are not required to extinguish a fire, but if you are confident and have been trained in the use of a fire extinguisher, then it is a subjective decision to fight a small fire. If in doubt, hit the emergency off for the affected bench or equipment, immediately pull fire alarm and exit building. Please review the first floor Nanofab/Engineering Science Building evacuation map below. <br />
<br />
==='''For emergencies after hours'''===<br />
[[File:Lab Rules - 4.6 after hours contact sign.png|thumb]]<br />
Contact the Nanofab cell phone ('''805-451-0509''') for non-injury producing events, but not for simple equipment failures or issues. The cell phone number is also posted under the clocks at the end of each Bay. You can dial 911 from you cell phone or dial 9-911 from all Nanofab phones for life threatening emergencies. The campus phone will connect you directly to UCSB Police Dispatch. Remember, dialing 911 on your personal cell phone connects you to a county dispatch and not a campus dispatch so you will need to give them location information. The campus dispatch will know by the campus phone number where you are located on campus. <br />
<br />
==='''UCSB NanoFab Exit Map'''===<br />
[[File:Lab Rules - 4.7 exit map.png|1260x1260px]]<br />
<br />
=='''HF/TMAH exposure'''==<br />
<br />
===HF Exposure to Skin===<br />
<br />
#[[File:Lab Rules - 5.1.1 HF exposure response calgonate.png|thumb]]Immediately rinse exposed area for 15 minutes in safety shower, flush affected area thoroughly. Speed and thoroughness in washing off the acid is of primary importance. An enclosed emergency shower is located in bay 5 across from the HF wet etch bench. Remember, do not touch the exposed area with your bare hands. <br />
#Immediately after rinsing, start massaging 2.5% calcium gluconate gel into the affected. The individual applying the gel should wear gloves. Apply gel frequently and massage continuously. Calcium gluconate gel is located to the left of the HF bench in a pocket mounted to the side of the bench and at the shower stall in Bay 5. <br />
#Take the victim to Goleta Valley Cottage Hospital emergency room. Call 9-911 from Nanofab phones for transport by ambulance if necessary. <br />
#Continue rubbing gel on affected area until advised otherwise by physician. <br />
<br />
===HF Exposure to Eyes===<br />
<br />
#Immediately rinse exposed area for 15 minutes at eye wash station. Hold eyelids open during irrigation to allow thorough flushing of the eyes. Water will spill onto floor of Nanofab - this is OK. <br />
#Take victim to Goleta Valley Cottage Hospital emergency room. Call 9- 911 from Nanofab phones for transport by ambulance if necessary. <br />
<br />
==='''TetraMethyl Ammonium Hydroxide (TMAH) Exposure'''===<br />
TMAH is a component in several photoresist developers and strippers, and is also used in the Nanofab as an ebeam resist developer and silicon etchant. The concentration of TMAH in photoresist developer and stripper solutions is relatively low (2-4%). However, the HSQ ebeam resist developer and silicon etchant utilize a solution of 25% TMAH. <br />
<br />
====TMAH Exposure to Skin, 25% Concentration====<br />
Immediately rinse exposed area for at least 15 minutes in safety shower, flush affected area thoroughly. An enclosed emergency showers is located in bay 5 across from the HF/TMAH wet etch bench. If using the emergency shower at the end of the bay, water will spill onto floor of Nanofab - this is OK. <br />
<br />
#Seek immediate medical attention by calling 9-911 from Nanofab phones. <br />
<br />
====TMAH Exposure to Skin, 2%-4%Concentration====<br />
<br />
#If small area skin exposure (<1% body surface area, <approximately 25 inches<sup>2</sup>) to 2-4% TMAH, immediately rinse exposed area until skin feels normal (not greasy). If irritation occurs, consult a physician. <br />
#If medium to large area skin exposure (>1% body surface area, >approximately 25 inches<sup>2</sup>) to 2-4% TMAH, immediately rinse exposed area for at least 15 minutes in safety shower, flush affected area thoroughly. An enclosed emergency shower is located in bay 5 across from the HF/TMAH wet bench. If using the emergency shower at the end of the bay, water will spill onto floor of Nanofab - this is OK. Seek immediate medical attention by calling 9-911 from Nanofab phones or using your cell phone to dial 911. <br />
<br />
====TMAH Exposure to Eyes, Any Concentration====<br />
<br />
#Immediately rinse exposed area for at least 15 minutes at eye wash station. Hold eyelids open during irrigation to allow thorough flushing of the eyes. Water will spill onto floor of Nanofab - this is OK. <br />
#Seek immediate medical attention by calling 9-911 from Nanofab phones or using your cell phone to dial 911 <br />
<br />
==Pregnancy and the Nanofab==<br />
The effects on a fetus of many of the chemicals utilized in the Nanofab is unknown. The Nanofab is designed to prevent exposure to the fumes and vapors from these chemicals, but absolute 100% containment is impossible, and it is possible to be exposed to extremely small concentrations of these materials. Consequently, we strongly recommend that you do not work in the Nanofab if you know or suspect that you are pregnant. <br />
<br />
==Chemicals in the Nanofab==<br />
<br />
===General Information and the SDS (or MSDS)===<br />
[[File:Lab Rules - 7.1 MSDS binders.png|thumb]]<br />
All chemicals, compounds, gases, materials for evaporation, etc., must be approved by the Nanofab manager before introduction into the facility. In order to introduce a new material into the Nanofab, a SDS (Safety Data Sheet) for the material in .pdf format must be submitted to the Nanofab manager, along with any anticipated processes involving the new material. The SDS contains safety information regarding exposure, first aid, handling, storage, fire hazard, other chemical incompatibilities, etc., for all chemicals, gases, and materials present in the facility. Before using an unfamiliar material, consult the SDS to determine any potential hazards. Consult the SDS to determine the proper course of action if someone has been exposed to a gas or chemical, or a spill has occurred. Binders containing the SDS for any material used in the Nanofab is located just inside the gowning room. Additionally, an electronic version of the (M)SDS in .pdf format for all chemicals in our inventory is located on our wiki page. Quick google searches on internet connected devices can also bring up SDS’s rather quickly. <br />
<br />
All users are expected to read and understand the SDS (Safety Data Sheets) for all chemicals they use in the facility, even if the usage or process has been passed down from previous group members. Users that understand the dangers and incompatibilities of the chemicals they work with are safer users. Users should also understand how to mix chemicals properly to avoid potential exothermic reactions. It is the responsibility of the user and their PI to make sure chemicals are mixed and used in a safe manner and that proper PPE is worn for the chemicals being used. Users may contact the facility management for guidance if unsure of proper chemical use and handling for given chemicals.<br />
<br />
===Containers and Labeling===<br />
<br />
#Chemical containers such as beakers, bottles, etc., must be labeled with '''contents, date, and ownership (individual or group name)'''. This information can be written on a wipe under the container. '''Noncompliance of this policy can result in lab suspension.''' <br />
##'''ALL Containers''' containing any liquids must be '''labelled''' at '''ALL TIMES, even if you are standing next to the container. No exceptions.'''<br />
##A beaker in use on a solvent bench can contain acetone, propanol, or methanol if the beaker is labeled with the text: “acetone/propanol/methanol”. The generic label “solvent” is insufficient. Beakers containing solvents other that acetone, propanol, or methanol must be labeled with the specific solvent. <br />
##Beakers in use at lithography developer benches must be labeled with exact contents and ownership (individual or group name). The generic label “developer” is insufficient. <br />
##“Acid” or “Base” is insufficient as a label. You must have the chemical name. Common names of user-mixed chemical mixtures such as “Aqua-Regia”, “RCA-2”, “Piranha” are also insufficient. Mixtures must be properly labeled such as “H<sub>2</sub>SO<sub>4</sub>:H<sub>2</sub>O<sub>2</sub>” or “HCl: HNO<sub>3</sub>” when mixed by users. Premixed chemicals poured from manufacturer bottles may be labeled as on the bottle. “Gold-Etchant – Type TFA” or “Al-Etchant Type D”, or “Nanostrip” etc. are acceptable for chemical designation. <br />
<br />
====Chemical compatibility with containers====<br />
<br />
#Most chemicals are compatible with glass (Pyrex, fused silicas, or quartz) containers. Compatibility with various forms of plastic are determined on a case by case basis using chemical compatibility charts available on various websites. <br />
#HF: Glass beakers are not compatible with HF containing acids. Use PTFE, Nalgene, or other forms of Teflon-like materials. <br />
<br />
====Transporting Chemicals from bench to bench====<br />
<br />
#Users may not transport open containers of chemicals from bench to bench. This is an unsafe practice and there are no exceptions, including water.<br />
#In order to transfer containers with chemicals from bench to bench when there is not another alternative, you may do the following:<br />
##Get a large HDPE sealable plastic tub and place it in the bench.<br />
##Place your beaker (or other open container) in the tub<br />
##Put lid on and snap shut the lid so that it is secure.<br />
##Transport the enclosed bin to the other bench.<br />
##Place in hood, open lid, remove chemical, place empty bin under the bench.<br />
##Use the same procedure for transporting large water beakers to the etchers (for water soaks after etch) and back to the benches for draining.<br />
<br />
===Chemical Storage===<br />
If you are approved to bring in a new material, the lab manager will instruct you to where you are allowed to use the chemistry and to store your material. You are not allowed to store any chemicals in your personal blue bins. All chemicals must be stored in approved locations. The general rules are as follows: <br />
<br />
====Using Chemicals====<br />
Common sense dictates that you select the opened bottle of any necessary chemistry first that you need to use. This will minimize waste and possible contamination of the stored chemistry. <br />
[[File:Lab Rules - 7.3 acid cabinet.png|right|132x132px]]<br />
<br />
====Acid Storage====<br />
[[File:Lab Rules - 7.3.2 base cabinet.png|right|137x137px]]<br />
The primary acid storage area (except HF) is the located next to the acid wet processing benches in bay 5. HF acid is stored in the HF cabinet in Bay 5. Some materials are placed in bins (or on particular shelves) within the cabinet to keep any spill physically separated from other incompatible, but acidic, materials that may also be stored in the same cabinet. Users must store the acids in the proper designated places as directed by the staff.<br />
<br />
====Base Storage====<br />
The primary base storage area is the base cabinet located next to the acid wet processing bench in Bay 5. Some materials are placed in bins (or on particular shelves) within the cabinet to keep any spill physically separated from other incompatible, but caustic, materials that may also be stored in the same cabinet. Users must store the bases in the proper designated places as directed by the staff.<br />
[[File:Lab Rules - 7.3.4 Solvent + PR storage.png|alt=Solvent and Photoresist Storage|thumb|261x261px|Solvent and Photoresist Storage]]<br />
<br />
====Solvent Storage====<br />
The primary solvent storage area is the stainless steel flammables cabinet in Bay 6. You may store small amounts of solvents in labeled containers on the shelves in the photolith area. Other unique solvents should be stored in the User Solvent cabinet in service chase 6. If too many small user bottles are being stored on shelves in the benches, the staff may announce a cleanup and “reset” the benches as a means of keeping the benches in order.<br />
<br />
====Photoresist Storage====<br />
Photoresist can contain many solvents and aromatics that are potentially toxic. Special care must be exercised when handling these materials. All photoresist fumes must be exhausted or otherwise contained through careful procedures at the photolith wet benches. The primary photoresist storage area is the lab refrigerator located in Bay 6. The few resists that do not need refrigeration are stored in the spinner benches on the back shelf (PMGI, PMMA, etc.). <br />
<br />
=====Transfer to Small(Personal) Bottles=====<br />
Small brown bottles of various sizes are provided to you on the wire racks. Transfer resist from the main bottle to your small bottle while the resist is cold. Do not let the main resist bottle warm up. You do not need to worry about condensation when transferring resist from the cold bottle to your container as we have set the refrigerator temp to be above the normal dew point (~10C) for the Nanofab. If you see any condensation forming on the bottles please inform the Nanofab staff. Large transfer pipettes are provided for transferring PR from the main bottle to your in-use bottle. To prevent PR flakes from contaminating the main bottle, please inspect the main bottle cap and bottle threads for PR, and remove any PR with EBR and a wipe before replacing cap. After transfer, place main bottle back in the refrigerator. <br />
[[File:Lab Rules - 7.3.5.2 PR Cabinet.png|thumb]]<br />
<br />
=====Small (Personal) Bottle Storage=====<br />
You may store your in-use, fully labeled PR bottle in the stainless steel PR storage cabinet in a bin labeled with your research group name (shown). Disposable droppers, syringes, and filters are provided for the application of photoresist on your substrate. You may store photoresist in the PR storage cabinet for a maximum of 12 months. Nanofab staff will remove in-use PR bottles older than 12 months, and will also remove any bottle not correctly labeled with contents, date, and ownership. <br />
<br />
====Materials needing refrigeration====<br />
[[File:Lab Rules - 7.3.6 refrigerators.png|thumb|191x191px]]<br />
There are two refrigerators in the Nanofab for resist/chemical storage. The main refrigerator in Bay 6 is set at ~10C and most of the resists are stored. There is a small freezer in service chase 5 that is set at -20C for lower temp storage needs. <br />
<br />
===Solvent Processing===<br />
'''Solvents with flashpoints below 55C° cannot be heated in the Nanofab.''' This includes acetone, methanol, isopropanol, ethanol, and toluene. All solvent processing is limited to the stainless steel solvent processing benches. Do not perform standard solvent processing at the photoresist spinner benches. The only solvent allowed at the PR spinner benches is EBR100 (PGMEA). '''Do not cover the holes on the fronts of the benches with wipes as this will disrupt the exhaust resulting in fumes escaping the bench.'''<br />
<br />
===Acid/Base and HF/TMAH/Bromine Processing===<br />
You may not deviate from these policies unless you have prior approval by the Nanofab manager. <br />
<br />
#All wet processing involving acids and bases ('''except HF or any mixture including HF, Bromine, TMAH >5%, and developers''') is limited to the acid/base benches. Do not bring acids/bases to the solvent stainless-steel benches. <br />
#All HF, TMAH >5%, and Bromine-based processing is limited to the two HF/TMAH wet benches in bay 5 and wet bench in bay 4 - NO EXCEPTIONS. Store all HF, TMAH >5%, and bromine in labeled containers in the HF acid/bromine storage cabinet. Please keep open containers of these toxic chemicals away from the edge of the bench. Waste solutions containing HF and TMAH are poured down the drain, and treated in the Nanofab acid treatment system. Empty HF and concentrated TMAH bottles should be returned to the HF acid storage cabinet.[[File:Lab Rules - 7.5.3 acid benches PPE.png|thumb|194x194px]]<br />
#Personal Protective Equipment: When working at any HF/TMAH bench (bay 4 and bay 5) always wear a fully-sleeved chemical apron, face shield, and “Trionic” gloves. <br />
#When working with other acids/bases at the benches, use appropriate PPE based on the materials being used by you or others at the bench. Full gowning and face-shields are recommended for heated or high concentration acid processing, especially piranha. <br />
#Always keep your face above the sash as much as possible to minimize exposure to the head in case of an accident. '''Do not cover the holes on the fronts of the benches''' with wipes as this will disrupt the exhaust resulting in fumes escaping the bench. <br />
#'''Do not use wipes in the sinks'''. Wipes cause many issues in the sinks. They are not needed in the sinks. Do not leave broken glass in the sink. If glassware is broken in the sink, the nanofab staff can assist in cleanup. Do not ignore a clogged sink. If a drain is clogged, promptly report this to the nanofab staff using the nanofab@ece.ucsb.eduemail address. <br />
<br />
===Photoresist Coating or Spinning===<br />
<br />
#Standard solvent-based photoresist spinning should be performed at the PR spinner benches. Some lithography chemicals utilize non-standard chemicals in place of solvents, which may require spinning at a different bench. If using non-standard lithography chemicals, please provide the (M)SDS and process instructions to the Nanofab manager for direction in this matter. Spin-coaters have standard recipes 2-9 programmed into all units. '''Do not change these recipes'''. Recipes 0 and 1 can be changed at will for user-defined spincoat processes. A variety of spin-coating chucks are provided by the facility. Use a chuck appropriate for the sample size you are using. Make sure chuck surfaces are clean when done. Do not remove the O-ring from the chuck. If you need a specialized chuck, please discuss with the Nanofab staff. Unless you purchased the chuck separately for your research group, '''all chucks are the property of the Nanofab and may not be taken and placed in your box for your own personal use. Non-compliance of this policy can result in lab suspension.'''[[File:Lab Rules - 7.6.2 spin bowl liners on racks.png|thumb]]<br />
#Spin Bowl Liners: Use two large orange backed wipes to line the bowl before spinning resist. Place them at 45 degrees relative to each other so that wipes cover the maximum amount of bowl area. If the wipe is saturated with resist, remove the top wipe and place in the beaker at the back of the bench after you are done with your coating process. NEVER place/dispose of the spin bowl liners or any other resist coated material into the regular trash or other non-exhausted area. <br />
#Adhesion Promoters: HMDS is commonly used as an adhesion promoter. Samples can be soaked in HMDS on the spinner chuck and then spun dry. Residual HMDS vapor can interact with resist and inhibit development, so it is recommended to either replace the wipe in the bowl after HMDS or to use the nitrogen gun to dry residuals from the liner wipes before spin coating the resist. <br />
<br />
===Developer Benches===<br />
<br />
#Developer benches are used for developing photoresists only using Hydroxide-based developers such as AZ400K or MIF developers. In general, solvents are not allowed at the developer benches because solvent fumes adversely affect the develop process. Solvent based liftoff processes are not allowed at the developer benches. E-beam lithography development processes that utilize solvents such as MIBK and IPA should be performed at the solvent benches in the photolith area. If you are unsure of where you should develop wafers, contact the lab management. <br />
<br />
===Cyanide-based processing===<br />
'''All compounds containing cyanide needs to be approved for use by the lab management. These materials are typically used only at the stainless steel solvent bench in Bay 5, where no acids are allowed. Never bring cyanide-based compounds to the acid bench. All waste is collected and stored in a designated cabinet. A procedure for use will be determined by the lab manager upon each request.'''<br />
<br />
===Photoresist Handling, and Waste===<br />
[[File:Lab Rules - 7.9 PR spinner.png|thumb|149x149px]]<br />
Photoresist can contain many solvents and aromatics that are potentially toxic. Special care must be exercised when handling these materials. All photoresist fumes must be exhausted or otherwise contained through careful procedures at the photolith wet benches. Disposable droppers, syringes, and filters are provided for the application of photoresist on your substrate. Nanofab wipes and pipettes contaminated with PR must be placed in the provided beaker containers at the back of the spinner benches. Do not place PR contaminated materials in the trashcans, as this will allow photoresist fumes to migrate throughout the photolith area. Dispose of waste photoresist bottles by placing your labeled bottle in the yellow solvent waste cabinet at the North end of chase 5. <br />
<br />
===Photoresist Strippers===<br />
Use of heated photoresist strippers (such as NMP) is limited to the stainless steel solvent benches. It is recommended you use the heated water bath to heat your stripper to 80 C. If you choose to use a hot plate to heat commercial photoresist strippers, you may use a maximum hot plate temperature 80 C, even though the liquid will not reach the plate temperature. You may place a small amount of water under your glass container to facilitate heat transfer. Again, you may never use a hot plate above 80C at any time while heating PR strippers in the Nanofab. <br />
<br />
===Powders and Nanoparticles===<br />
Nanoparticles and powders present unique challenges. In general, all nanoparticles and powders must be in solution before bringing into the nanofab. Any user needing to use nanoparticles or powders must discuss this with the operational director before using in the facility. (M)SDS sheets for bulk materials are not sufficient regarding the dangers of nanoparticles of the same material type. <br />
<br />
===Chemical Waste Disposal===<br />
[[File:Lab Rules - 7.12.1 chemical storage-disposal sheet.png|thumb]]<br />
<br />
====General Guidelines====<br />
<br />
#The correct method of disposal for any chemical waste in our inventory is posted throughout the Nanofab on the sides of the benches. <br />
#'''DO NOT DISPOSE OF''' '''SOLVENTS IN THE ACID DRAIN,''' '''OR''' '''ACIDS AND BASES IN THE SOLVENT DRAINS''' '''DUE TO''' '''POSSIBLE EXPLOSION OR THE CREATION OF OTHER HAZARDOUS SITUATIONS.''' If you are unsure about any chemical disposal procedure, please contact the nanofab staff to discuss.<br />
<br />
====Methods of Chemical Disposal====<br />
All chemical waste is disposed of in one of three methods: <br />
<br />
=====Acid drains=====<br />
[[File:Lab Rules - 7.12.2.1 developer drain and lift station.png|thumb]]<br />
Most (but not all) water-based chemicals use this method. All liquid waste from the plastic benches is collected in pump lift stations behind the benches in the service chases. These lift stations pump the waste chemicals overhead to an acid waste neutralization (AWN) system located outside of the Nanofab in the mechanical room where the chemical waste is treated for pH and then drained to the sewer. This is the primary drain in the Nanofab. All liquids entering the sink drain at any polypropylene wet bench in the Nanofab run through this treatment system. To dispose of an acid or base, pour the liquid down the drain, rinse and drain glassware 3 times, use the sprayer to dilute the mixture in the sink while draining, and use the plenum flush to help dilute/rinse the chemical into the lift stations (especially for concentrated acid mixtures). There are also venturi aspirators at the <br />
<br />
back of all acid/base benches to pump the chemistry from larger beakers so that you do not have to pick them up and pour them in to the sinks. When using this technique, always use the plenum flush and rinse your beakers when done. '''Do not pour the liquid thru the perforated tops'''. If you spill an acid or base onto the top surface of a bench, first rinse the surface thoroughly with water using the DI sprayers, then turn on the plenum flush to rinse the bench drain. '''Do not pour solvents down the acid drain'''. <br />
<br />
=====Solvent dumps=====<br />
[[File:Lab Rules - 7.12.2.2 solvent drain and lift station.png|thumb]]<br />
Waste solvents are poured into the solvent dumps at the back of each solvent bench. Most (but not all) solvent waste uses this method. '''Do not pour the liquid thru the perforated tops.Do not pour waste over the POLOS spinners'''. The solvent drain waste is collected in a pump lift station in the service chases. The lift stations pump when full to a storage tank located in the Nanofab mechanical room. The waste solvents are transported to the EH&S chemical waste processing facility and are properly disposed. '''Do not use acids or bases at the solvent benches'''.<br />
<br />
=====Collection of chemical waste=====<br />
Some chemicals require collection according to state regulations. When this is the case, always fill out the Environmental Health and Safety waste disposal tags that are provided at the waste cabinet in service chase 5. Fill out each tag with the appropriate information when disposing of collected chemical waste. EH&S will only remove properly labeled waste bags and containers. Nanofab staff can assist with this process.<br />
<br />
====Disposing of Empty Acid, Base, and Solvent Bottles====<br />
All chemical storage cabinets have a shelf labeled for empty containers. Place empty containers in the respective cabinet. Do not rinse any empty chemical containers. Nanofab staff will collect all empty bottles from the cabinets and properly rinse and dispose into the trash. <br />
<br />
==Nanofab Apparel and Gloves==<br />
<br />
===Bunnysuits and Booties===<br />
<br />
#You must wear a full bunnysuit to enter the Nanofab (hood, gown, shoe covers). Facial covers are optional. Change bunnysuits weekly if used often, or at least once a month if used sporadically. There is a laundry window by the glove rack where all dirty cleanroom gowns, hoods and booties should be placed. <br />
#Sandals or any other open toed shoes are not allowed in the Nanofab at any time. The only protection to your feet are provided by the closed toe shoes you are wearing under the booties. Shorts are allowed under bunny suits, but long pants are better.[[File:Lab Rules - 6.1.3 hangar reservation sheet.png|thumb]] <br />
#Store gowns and hoods on the numbered hangers in the gowning room. Store booties in the corresponding numbered slot in the bootie storage rack. '''You must then clearly write your name on the hanger reservation'''list posted on the wall where the safety glasses are stored in the gowning room. Please follow these simple instructions. Gown use and laundering directly affects your recharge rates. Abuse of this system is a sad waste of money on facility cost than research investment. '''Failure to comply with this policy will result in your gown being removed and possible suspension from the lab'''. <br />
<br />
===Eye Protection===<br />
ANSI-approved eye protection must be worn at all times in the Nanofab, except when using optical microscopes. All eye protection eyewear must be ANSI-approved, as indicated by the “Z87” stamp required on the eyewear. You are welcome to use personal prescription safety eyewear which is ANSI-approved. Three types of safety eyewear are stocked in the Nanofab: <br />
<br />
#Safety glasses used by people who do not wear vision correction glasses. <br />
#Safety glasses used for use by people who do wear vision correction glasses. These safety glasses are intended to be worn over vision correction glasses. <br />
#U.S. Safety Faceshield. The full faceshields must be worn when working with dangerous chemicals or materials. '''You should always try to keep the sash between your face and the chemistry in the bench.'''Remember it is not just your chemistry, but other user’s chemistry at the bench could cause a hazard. Any time your face is below the sash of a wet bench a safety faceshield should be worn. It is mandatory to wear a faceshield when working at the HF/TMAH bench. <br />
#'''Failure to have on appropriate eye protection is a clear violation of the PPE policy and will result in lab suspension.'''<br />
<br />
===Aprons and Gloves===<br />
<br />
====General Information====<br />
[[File:Lab Rules - 6.3.1.4 glove racks.png|thumb|Glove racks in gowning room and throughout the 'fab.]]<br />
'''Always wear gloves when in the Nanofab'''. Gloves are intended to protect you from chemistry when the appropriate glove is used and to protect equipment (vacuum systems, table tops, etc.) from contamination from your skin. Acid aprons are <br />
<br />
required when handling HF/TMAH and other highly corrosive or toxic chemicals. It is acceptable to wear an apron at any of the acid/base benches. <br />
<br />
#You are not allowed to walk from one bay to another or use any lab equipment while gowned in the acid aprons, face shield or Trionic gloves used at the acid/base benches. This is to prevent possible chemical contamination of other equipment/areas in the lab. You must remove these items when leaving the acid/base/HF/TMAH wet bench areas of bays 4 or 5. <br />
#There are many manufacturer on-line websites listing chemical resistance of gloves. Please check these guidelines and the SDS when selecting proper glove for a particular chemical. <br />
#'''Five types of gloves are available in the Nanofab:'''<br />
<br />
{| class="wikitable"<br />
|'''Material''' <br />
|'''Model''' <br />
|'''Color''' <br />
|'''Thickness''' <br />
|'''Sizes''' <br />
|-<br />
|PVC <br />
|Kimtech G5 Co-Polymer <br />
|Clear<br />
|0.1mm (4mil) <br />
|S, M, L, XL <br />
|-<br />
|Latex <br />
|Kimtech G3 Latex <br />
|Tan <br />
|0.2mm (8mil) <br />
|6, 6.5, 7, 7.5, 8, 8.5, 9, 10 <br />
|-<br />
|Nitrile <br />
|Best CleaN-Dex Ultimate <br />
|White<br />
|0.15mm (6mil) <br />
|XS, S, M, L, XL <br />
|-<br />
|Nitrile <br />
|MAPA StanSolve A-30 <br />
|Green<br />
|0.28mm (11mil) <br />
|7, 8, 9, 10, 11 <br />
|-<br />
|Blend <br />
|MAPA TRIonic E-194 <br />
|Tan <br />
|0.5mm (20mil) <br />
|6, 7, 8, 9, 10, 11 <br />
|}<br />
<br />
=====Polyvinyl Chloride (PVC): Kimtech G5 Co-Polymer=====<br />
A relatively inexpensive static free general-purpose glove, poor for most organics. These gloves break down rapidly in acetone. <br />
<br />
=====Latex: Kimtech G3 Latex=====<br />
A general-purpose glove, poor for most organics, okay with aldehydes and keytones. <br />
<br />
=====Nitrile, White: Best CleaN-Dex Ultimate=====<br />
A thin general purpose low cost glove offers marginal protection from many keytones, okay with some acids and bases. <br />
<br />
=====Nitrile, Green: MAPA StanSolve A-30=====<br />
A thicker nitrile glove that affords increased protection over the CleaN-Dex white nitrile glove. <br />
<br />
=====Nitrile/Neoprene/Latex Blend: MAPA TRIonic E-194=====<br />
The glove is the standard wet processing glove. A blend of latex, neoprene, and carboxylated nitrile, which offers excellent protection from corrosives and solvents such as HF and acetone. Highly resistant to cuts, tears, and snags. Always use this glove when processing with HF, TMAH, or Bromine. <br />
<br />
==Communications and Internet Access==<br />
The basic approach to lab communications is that the Nanofab staff/management must be able to contact every user of the lab. All user emails and phone numbers must be current. '''If the Nanofab can’t communicate with you then you can’t enter/use the lab.''' Most of our systems will automatically remove you from the lab if your email bounces. If that happens then your access will be shut off to enter the lab. '''This is the user’s responsibility to make sure the contact info is correct and up to date'''. <br />
<br />
===Process Equipment Scheduling===<br />
The majority of the processing tools in the Nanofab are scheduled through a web-based equipment scheduling system known as “'''signup monkey'''”. Anyone with Nanofab access can view the site, but only users who have completed training on a tool will be able to schedule use of that tool. The site address is: <br />
<br />
http://signupmonkey.ece.ucsb.edu. Once you have been trained by the specific staff engineer in charge of a system then you will be able to reserve time on the tool through signupmonkey. <br />
<br />
There is more information about the system on our wiki page: [[Main Page|https://wiki.nanotech.ucsb.edu/w/index.php?title=Main_Page.]]<br />
<br />
#'''Script writing for tool sign-up is forbidden'''. '''Users caught trying to run scripts will be suspended from the cleanroom without exception.'''<br />
#Users must sign up for all tools on signup monkey before use. The only exception is when another user did not show up for their timeslot and you take it'''.'''<br />
#Only trained/authorized users may operate process equipment. The tool owner is responsible for this training. '''Users will be suspended without exception for using equipment they are not authorized to use'''. <br />
<br />
[[File:Lab Rules - 7.2 signupmonkey.png|none|thumb|484x484px|SignupMonkey, the online tool reservation system.]]<br />
<br />
===Saving Data in the NanoFab===<br />
The Nanofab does not allow USB memory sticks for saving electronic files. USB ports are disabled on most computers to prevent the spread of viruses. Instead, you can download your files from our SFTP server, Nanofiles. As long as you place your files into the proper folder on the computer, your files will be synced to the '''Nanofiles STFP server'''every hour (or immediately by running the "''Sync to NanoFiles''" script). For instructions on how to access these files, and where to place your files, please log into your '''SignupMonkey''' account and click the “here” link under '''Files''' on the front page as shown below. The email you receive will give you instructions on accessing/syncing to the '''Nanofiles server'''. <br />
<br />
===Cell phone and Laptops===<br />
It is fine to bring in your cell phones and laptops into the Nanofab. You need to clean your laptops and cellphones with ISO or ISO/Water before bringing them into the cleanroom. <br />
<br />
===Earbuds===<br />
You can use earbuds in the lab to listen to whatever you want. '''But it is your responsibility to make sure you can also hear other users or staff at all times'''. This is a clear safety issue if not followed. The use of earbuds is a privilege, not a necessity. '''Please do not abuse this policy as it can be removed. Willful non-compliance will result in a lab suspension'''. <br />
<br />
===Global Nanofab email List===<br />
All Nanofab users will be entered into our cleanroom@ece.ucsb.edu mail list. This is how the Nanofab staff/management will communicate with all users of the lab. <br />
<br />
It is critical and '''<u>required that you read all these emails</u>''' as there will be important updates/announcements about lab policy, lab closures and any major lab events occurring. <br />
<br />
===User Responsibility for Receiving Communications===<br />
'''It is the users’ responsibility to make sure they are receiving emails from both cleanroom@ece.ucsb.eduand our signupmonkey'''. You must check with your IT people to make sure these emails are allowed and not placed in spam or denied from your emails. It is mandatory you receive and read all these emails. <br />
<br />
===Contact Nanofab Staff===<br />
You can contact staff through their individual email addresses or phones numbers. Or you can send an email to nanofab@ece.ucsb.edu which will contact all Nanofab staff. The appropriate staff will answer your email request. <br />
<br />
===Synchronized Clocks===<br />
[[File:Lab Rules - 7.8 clock + after hours contact.png|right]]<br />
At the south end of all Nanofab bays are large digital clock displays mounted high on the south wall. These clocks are synchronized to one time and can be used as a timer for process. Contact Nanofab staff if they are not in sync. The emergency cell phone number is also displayed below these clocks. <br />
<br />
===Wireless Access in the Nanofab and on campus===<br />
Currently there are three wireless networks available to Nanofab users: <br />
<br />
====UCSB Secure (recommended)====<br />
<br />
#Local academic users can access the UCSB Secure wireless network by using their UCSBnetID and password. <br />
#Access accounts for external Nanofab user (other university or industrial users) are provided on email request by the Nanofab manager. Please contact the Nanofab manager if you would like an account, thibeault@ece.ucsb.edu. <br />
<br />
====Eduroam (Internal or External academics, recommended)====<br />
<br />
#This is available to any academic user that has an Eduroam account only. Everyone with a valid UCSBNetID should have access to this wireless network.<br />
<br />
====UCSB Wireless Web (not recommended)====<br />
<br />
#This wireless connection is open to anyone, but has very limited speeds and will not auto connect so you need to constantly log in and out. <br />
<br />
==Nanofab Summer Intern Policy==<br />
<br />
==='''Whenever the intern is in the Nanofab, the mentor must also be in the Nanofab.''' ===<br />
Interns may use tools that do not require training sessions, such as microscopes, etc, unless the supervisor of these tools objects. Interns can work at photolith benches, and use components of the photolith benches, unless the supervisor of the bench objects. Interns will need to follow normal Nanofab access procedures, including orientation meeting with the Nanofab manager. <br />
<br />
===Tool Usage by Interns===<br />
Interns will be allowed to use a limited number of mainline Nanofab tools after completing training conducted by Nanofab staff. Mainline tools are tools scheduled through our web site signupmonkey. Certain tools may be unavailable to interns due to extremely heavy use or other concerns. <br />
<br />
===Rates for Interns===<br />
Summer interns will be charged a lower subsidized rate for use during the course of their internship. These rates are set each year. Please contact the lab director for more information.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Stepper_2_(AutoStep_200)&diff=159172Stepper 2 (AutoStep 200)2021-09-04T19:50:24Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=Stepper2.jpg<br />
|type = Lithography<br />
|super= Biljana Stamenic<br />
|phone=(805)839-3918x213<br />
|location=Bay 6<br />
|email=stamenic@ucsb.edu<br />
|description = GCA 200 I-Line Wafer Stepper<br />
|manufacturer = GCA<br />
|materials = <br />
|toolid=38<br />
}} <br />
<br />
==About==<br />
<br />
Our GCA wafer stepper is an i-line (365 nm) step and repeat exposure tool for doing lithography that requires high resolution and/or critical alignment. The system has been modified to accept piece parts (down to smaller than 10mm x 10mm) up to 6” diameter wafers using manual wafer loading. The maximum square die size is 14.8 mm x 14.8 mm. The system has an Olympus 2145 (N.A. = 0.45) lens that reduces the mask image by 5 x and gives an ultimate resolution of better than 0.5 um in the center of the lens field. The system can easily produce 0.7 um isolated lines across the entire field. The Autostep200 system has 3-point wafer leveling to improve focus uniformity across the field. Autofocus is used to determine the sample surface relative to the lens, making the focus stable and repeatable for different thickness of wafer. The stages are controlled by stepper motors and laser interferometers. Using the global, manual alignment, better than 0.25 um alignment error is achievable. Using the DFAS local alignment system, alignment error better than 0.15 um is achieved. With the 1000 W Hg arc lamp, we get about 420 mW/cm² of i-line intensity at the wafer.<br />
<br />
The system is computer controlled with the capability to program and save a wide variety of exposure jobs. We also have unlimited phone support for system problems through a service contract. <br />
<br />
==Detailed Specifications==<br />
<br />
*Lens: Olympus 2145: NA = 0.45; Depth of field = 1.2 um for 0.6 um process<br />
*Maximum die size: ~ 15 mm x 15 mm<br />
*Resolution: 400-450 nm for R&D; 700 nm over entire 15 mm x 15 mm field<br />
*Registration tolerance: 0.25 µm global alignment; Max 0.15 µm local alignment (with care, you can achieve < 0.10 µm registration)<br />
*Substrate size: ~ 10 x 10 mm up to 100 mm (150 mm possible, we don't provide the vacuum chuck for it).<br />
*Computer programmable, recipes saved on hard disk<br />
*Reticle alignment fiducials and global/local fiducials available - contact us for CAD files.<br />
<br />
==Process Information==<br />
<br />
=== Recipes ===<br />
*[https://signupmonkey.ece.ucsb.edu/w/index.php?title=Lithography_Recipes#Photolithography_Recipes Recipes > '''Photolithography Recipes''']<br />
<br />
===Photoresists:===<br />
<br />
*The laboratory contains a variety of i-line compatible photoresists. See the [https://signupmonkey.ece.ucsb.edu/w/index.php?title=Lithography_Recipes#Photolithography_Recipes Photolith. Recipes Page] for detailed processing info (bakes/spins/exposure does etc.). Basic photoresists include:<br />
**955CM-0.9 for 0.7-1.0 um thick positive processes.<br />
**AZ5214E for 1.0 um thick image reversal (negative) process.<br />
**SPR955CM-1.8 for 1.5-2.0 um thick positive processes.<br />
**SPR220-3 for 2.5-5 um thick positive process.<br />
**SPR220-7 for >5 um thick positive processes.<br />
**AZnLOF5510 for <1.0um and AZnLOF 2020 for 1.5-3 um negative resist process.<br />
**Shipley LOL-2000 is also used as an underlayer for high resolution lift-off processes.<br />
<br />
==Service Provider==<br />
<br />
*[http://3ctechnical.com/index.html 3C Technical] - The company that services the stepper.<br />
<br />
==Operating Procedures==<br />
<br />
*[[Stepper 2 (AutoStep 200) Operating Procedures|Standard Operating Procedure]]<br />
*[[Stepper 2 (Autostep 200) - Job Programming|Programming a Job]]<br />
*[[Autostep 200 Mask Making Guidance]] ('''''Work In Progress-not ready yet''''')<br />
*[[Autostep 200 User Accessible Commands]]<br />
*[[Autostep 200 Troubleshooting and Recovery|Troubleshooting and Recovery]] <br />
*[[Autostep 200 Old training manual]]<br />
<br />
== Staff Procedures ==<br />
''These procedures are for Staff use - contact staff if you think you need to run these!''<br />
* [[GCA Autostep200 - Startup-Shutdown Procedure|Shutdown + Startup Procedure]]<br />
* [https://wiki.nanotech.ucsb.edu/w/images/5/5e/Autostep_200_Restart_SOP_June_2021.pdf Shutdown + Startup - PDF - June 2021]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Ovens_-_Overview_of_All_Lab_Ovens&diff=159171Ovens - Overview of All Lab Ovens2021-09-04T19:50:19Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>[https://wiki.nanotech.ucsb.edu/w/index.php?title=Tool_List#Thermal_Processing See the full list of Thermal Processing tools here.]<br />
{| class="wikitable sortable"<br />
|+List of all lab ovens and capabilities/uses<br />
!Oven Name + Link<br />
!Temperature Range/Capabilities<br />
!Programmable<br />
!Gases<br />
!Vacuum/Pressure Range<br />
!Typical Uses<br />
!SignupMonkey Reservation<br />
|-<br />
|[[Ovens 1, 2 & 3 (Labline)|Oven 1]]<br />
|<br />
* Typically 95°C<br />
* Passive Cooling<br />
|No, single temperature.<br />
|N/A<br />
|Atmosphere only<br />
|Drying glassware, general baking.<br />
|No. Users may change temperature.<br />
|-<br />
|[[Ovens 1, 2 & 3 (Labline)|Oven 2]]<br />
|<br />
* Typically 100°C<br />
* Passive Cooling<br />
|No, single temperature.<br />
|N/A<br />
|Atmosphere only<br />
|Drying glassware, general baking.<br />
|No. Users may change temperature.<br />
|-<br />
|[[Oven 4 (Thermo-Fisher HeraTherm)|Oven 4: HeraTherm Oven]]<br />
|<br />
* Max. Temp = 330°C<br />
* Passive Cooling, optional vent to atmosphere for speed.<br />
|<br />
* Multi-step programmable<br />
* Ramp Rate: only low/med/high (not numeric ramp rate).<br />
* Gas flow not programmable<br />
|<br />
* N2 (manual flow valve)<br />
* Atmosphere Purge<br />
|Atmosphere only<br />
|Bonding, BCB/Polymer curing.<br />
|[https://signupmonkey.ece.ucsb.edu/cgi-bin/users/browse.cgi?tool_ID=68 Sign Up For This Tool]<br />
(Also log in logbook)<br />
|-<br />
|[[High Temp Oven (Blue M)|Blue-M Oven]]<br />
|<br />
* Max. temp. 350°C<br />
* Passive Cooling<br />
|<br />
* Yes, fully programmable up to 2 stages.<br />
* Gas flow not programmable<br />
|<br />
* N2 (manual flow setting)<br />
|Atmosphere only<br />
|BCB/Polymer curing.<br />
|[http://signupmonkey.ece.ucsb.edu/cgi-bin/users/browse.cgi?tool_ID=56 Sign Up For This Tool]<br />
|-<br />
|[[Vacuum Oven (YES)|YES Vacuum Oven]]<br />
|<br />
* Max. Temp 250°C<br />
* Passive Cooling<br />
|''Controller non-operational''<br />
|<br />
* N2<br />
|Atmosphere only<br />
|<br />
|No. First-come first-serve.<br />
|}</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Stepper_Recipes&diff=159170Stepper Recipes2021-09-04T19:50:11Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{recipes|Lithography}} <br />
[[category: Lithography]]<br />
<br />
Below is a listing of stepper lithography recipes. <br />
Stepper 1 and Stepper 2 are i-line systems with good piece handling capabilities. &nbsp;Stepper 3 is a DUV (248nm) system primarily used for full 100mm wafers. &nbsp;DUV resists do not work for i-line and i-line resists do not work for DUV. &nbsp;Based on your sample reflectivity, absorption (or whether or not you use an ARC layer), and surface topography, the exposure time / focus offset parameters may vary. <br />
<br />
This listing is a guideline to get you started. For critical lithography steps, you should run your own exposure and/or focus array to determine the proper parameters.<br />
<br />
The recipes are tabulated to give you the values of the key parameters you will need to establish your recipe. Underlayers such as LOL2000 or PMGI can be used on the stepper systems. &nbsp;See the underlayer datasheets for details. &nbsp; Post develop bakes (not listed) are used to make the resist more etch resistant and depend on subsequent processes. Care should be taken with post development bakes as resist reflow can occur. Unless otherwise noted, all exposures are done on flat, silicon wafers. <br />
<br />
Parameters are indicated in separate tables for each stepper system. <br />
<br />
=[[Stepper 1 (GCA 6300)]]=<br />
<br />
Multiply the GCA 6300 exposure times by 0.30 to get a starting exposure time for the GCA Autostep200 system. <br />
<br />
==Positive Resist (GCA 6300)== <!--Note that if this heading is changed, the recipe links on the Lithography page must be changed--> <br />
<br />
Unless otherwise noted, bakes are on hot plates. For recipes with CEM, the CEM is spun on after the first resist bake, exposure is then done, and the CEM is rinsed off with DI water before the PEB. CEM generally improves resolution and process tolerance at the expense of higher exposure time. <br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Time<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|SPR955CM0.9<br />
|3 krpm/30”<br />
|95°C/60”<br />
|~ 0.9 um<br />
|1.2”<br />
|0<br />
|110°C/60”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um isolated lines<br />
*{{fl|SPR955CMstepperrecipe.pdf|See SPR955CM data file}}<br />
<br />
|-<br />
|SPR955CM0.9<br />
|3 krpm/30”<br />
|95°C/60”<br />
|~ 0.9 um<br />
|3.0”<br />
|4<br />
|110°C/60”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um holes<br />
*Much longer exposure time for dense isolated holes<br />
*{{fl|SPR955CMstepperrecipe.pdf|See SPR955CM data file}}<br />
<br />
|-<br />
|SPR955CM0.9<br> <br />
CEM365iS <br />
|3 krpm/30”<br> <br />
5 krpm/30” <br />
|95°C/90”<br />
|~ 0.9 um<br />
|2.2”<br />
| -10<br />
|110°C/60”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.35um isolated spaces by SEM measurement.<br />
*Higher exposure time due to CEM<br />
*{{fl|SPR955CMstepperrecipe.pdf|See SPR955CM data file}}<br />
<br />
|-<br />
|SPR950-0.8<br />
|4 krpm/30”<br />
|95°C/60”<br />
|~ 0.8 um<br />
|1.0”<br />
|0<br />
|105°C/60”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
<br />
|-<br />
|SPR955CM-1.8<br />
|4 krpm/30”<br />
|90°C/90”<br />
|~ 1.8 um<br />
|2.3”<br />
|0<br />
|110°C/90”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um isolated lines<br />
*{{fl|spr955_1.8GCA6300.pdf|See 955CM-1.8 data file}}<br />
<br />
|-<br />
|SPR955CM-1.8<br />
|4 krpm/30”<br />
|90°C/90”<br />
|~ 1.8 um<br />
|1.7”<br />
| -5<br />
|110°C/90”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*1 um isolated posts<br />
*{{fl|spr955_1.8GCA6300.pdf|See 955CM-1.8 data file}}<br />
<br />
|-<br />
|SPR220-3.0<br />
|2.5 krpm/30”<br />
|115°C/90”<br />
|~ 2.7 um<br />
|2.4”<br />
|10<br />
|115°C/90”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um isolated lines<br />
*{{fl|SPR-220-3.0_OptimizationNew.pdf|See SPR220-3 Data File}}<br />
<br />
|-<br />
|SPR220-7.0<br />
|3.5 krpm/45”<br />
|115°C/120”<br />
|~ 7.0 um<br />
|4.5”<br />
|0<br />
|*50°C/60”<br> <br />
115°C/90” <br />
<br />
|AZ300MIF<br />
|120"<br />
| align="left" |<br />
*1.0 um isolated lines; 1.25 um isolated spaces <br />
**Let sample sit in air for 20 minutes before PEB, step to 50°C for 60” first, then 115°C<br />
*{{fl|SPR-220-7.0stepperrecipe.pdf|See SPR220-7 Data File}}<br />
<br />
|}<br />
<br />
==Negative Resist (GCA 6300)== <!--Note that if this heading is changed, the recipe links on the Lithography page must be changed--> <br />
<br />
Unless otherwise noted, bakes are on hot plate. All flood exposures are done in broadband light using any contact aligner. Also, because the tone is negative, a shorter first exposure time will result in more undercut, which is desirable for single-layer lift-off processes. Under these conditions more develop time will also give more undercut. <br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Time<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="75" |Flood<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|AZ5214<br />
|6 krpm/30”<br />
|95°C/60”<br />
|~ 1.0 um<br />
|0.2”<br />
|0<br />
|110°C/60”<br />
|60"<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.7 um res. possible&nbsp;<br />
<br />
|-<br />
|nLOF5510<br />
|3 krpm/30”<br />
|90°C/60”<br />
|~ 0.93 um<br />
|0.74”<br />
| -6<br />
|110°C/60”<br />
|0<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um line openings good dense or isolated<br />
*Use heated 1165 stripper for removal or lift-off<br />
*{{fl|nLOF5510stepperrecipe.pdf|See nLOF5510 data file}}<br />
<br />
|-<br />
|nLOF2020<br />
|4 krpm/30”<br />
|110°C/60”<br />
|~ 2 um<br />
|0.55”<br />
| -6<br />
|110°C/60”<br />
|0<br />
|AZ300MIF<br />
|90"<br />
| align="left" |<br />
*~ .85 um line opening/lift-off good. Isolated mesas can be smaller.<br />
*Use heated 1165 stripper for removal or lift-off Sensetive to PEB temp.<br />
*{{fl|nLOF2020stepperrecipe.pdf|See nLOF2020 Data File}}<br />
<br />
|}<br />
<br />
=[[Stepper 2 (AutoStep 200)]]=<br />
<br />
==Positive Resist (AutoStep 200)== <!--Note that if this heading is changed, the recipe links on the Lithography page must be changed--> <br />
Unless otherwise noted, bakes are on hot plates. For recipes with CEM, the CEM is spun on after the first resist bake, exposure is then done, and the CEM is rinsed off with DI water before the PEB. CEM generally improves resolution and process tolerance at the expense of higher exposure time. <br />
<br />
'''NOTE''': The bolded exposure times were found by multiplying the exposure times from the GCA 6300 system by 0.30. They should be sued as a starting point. You will need to do an exposure array to get precise times for the Autostep system. In general, the resolution achievable is ~ 100 nm smaller for the Autostep200 system. <br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Time<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|SPR955CM-0.9<br />
|3 krpm/30”<br />
|95°C/90”<br />
|~ 0.9 um<br />
|0.35”<br />
|0<br />
|110°C/90”<br />
|AZ300MIF<br />
|60”<br />
| align="left" |<br />
*0.5um dense lines<br />
*{{fl|SPR955-0.9-AS200-stepperrecipe.pdf|See SPR955CM AS200 data file}}<br />
<br />
|-<br />
|SPR955CM-0.9<br />
|3 krpm/30”<br />
|95°C/90”<br />
|~ 0.9 um<br />
|0.8”<br />
|0<br />
|110°C/90”<br />
|AZ300MIF<br />
|60”<br />
| align="left" |<br />
*0.5um holes<br />
<br />
|-<br />
|SPR955CM-1.8<br />
|4 krpm/30”<br />
|95°C/90”<br />
|~ 1.8 um<br />
|0.4”<br />
| -1<br />
|110°C/90”<br />
|AZ300MIF<br />
|60”<br />
| align="left" |<br />
*{{fl|SPR955-1.8-AS200-stepperrecipe.pdf|See SPR955-1.8 AS200 data file}}<br />
<br />
|-<br />
|SPR950-0.8<br />
|4 krpm/30”<br />
|95°C/60”<br />
|~ 0.8 um<br />
|'''0.30”'''<br />
|0<br />
|105°C/60”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
|-<br />
|SPR220-3.0<br />
|2.5 krpm/30”<br />
|115°C/90”<br />
|~ 2.7 um<br />
|'''0.72”'''<br />
|10<br />
|115°C/90”<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.5 um isolated lines<br />
<br />
|-<br />
|SPR220-7.0<br />
|3.5 krpm/45”<br />
|115°C/120”<br />
|~ 7.0 um<br />
|'''1.35"'''<br />
|0<br />
|*50°C/60”<br> <br />
115°C/90” <br />
<br />
|AZ300MIF<br />
|120"<br />
| align="left" |<br />
*1.0 um isolated lines; 1.25 um isolated spaces <br />
**Let sample sit in air for 20 minutes before PEB, step to 50°C for 60” first, then 115°C<br />
<br />
|-<br />
|AZ4210<br />
|step1:500rpm/5", step2:4krpm/45”<br />
|95°C/60"<br />
|~ 2.1 um<br />
|'''0.75"'''<br />
|0<br />
| <br />
<br />
|AZ400K:DI=1:4 <br />
|60"<br />
| align="left" |<br />
*2.0 um dense holes<br />
<br />
|}<br />
<br />
==Negative Resist (AutoStep 200)== <!--Note that if this heading is changed, the recipe links on the Lithography page must be changed--> <br />
Unless otherwise noted, bakes are on hot plate. All flood exposures are done in broadband light using any contact aligner. Also, because the tone is negative, a shorter first exposure time will result in more undercut, which is desirable for single-layer lift-off processes. Under these conditions more develop time will also give more undercut. <br />
<br />
'''NOTE''': The bolded exposure times were found by multiplying the exposure times from the GCA 6300 system by 0.30. They should be sued as a starting point. You will need to do an exposure array to get precise times for the Autostep system. In general, the resolution achievable is ~ 100 nm smaller for the Autostep200 system. <br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Time<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="75" |Flood<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|nLOF5510<br />
|3 krpm/30”<br />
|90°C/60”<br />
|~ 0.93 um<br />
|.25”<br />
| -1<br />
|110°C/60”<br />
|0<br />
|AZ300MIF<br />
|60”<br />
| align="left" |<br />
*0.4 um lines dense good<br />
*Use heated 1165 stripper for removal or lift-off<br />
*{{fl|nLOF5510-AS200-stepperrecipe.pdf|See nLOF5510 As200 data file}}<br />
<br />
|-<br />
|AZ5214<br />
|6 krpm/30”<br />
|95°C/60”<br />
|~ 1.0 um<br />
|'''0.06”'''<br />
|0<br />
|110°C/60”<br />
|60"<br />
|AZ300MIF<br />
|60"<br />
| align="left" |<br />
*0.7 um res. possible<br />
<br />
|-<br />
|nLOF2020<br />
|4 krpm/30”<br />
|110°C/60”<br />
|~ 2 um<br />
|'''0.17”'''<br />
| -6<br />
|110°C/60”<br />
|0<br />
|AZ300MIF<br />
|90"<br />
| align="left" |<br />
*~ .85 um line opening/lift-off good. Isolated mesas can be smaller.<br />
*Use heated 1165 stripper for removal or lift-off Sensetive to PEB temp.<br />
|-<br />
<br />
|NR9-1000PY<br />
|3 krpm/30”<br />
|135°C/180” lid down<br />
|~ 1.2 um<br />
|'''0.92”'''<br />
|0<br />
|115°C/120” lid down<br />
|0<br />
|AZ300MIF<br />
|20"<br />
| align="left" |<br />
*~ .55 um line opening/lift-off good.<br />
*Use heated 1165 stripper for removal 8Hrs min.<br />
*{{fl|NR9-1000PY-AS200-stepperrecipe.pdf|See NR9-1000PY As200 data file}}<br />
|}<br />
<br />
=[[Stepper 3 (ASML DUV)]]=<br />
<br />
==Anti-Reflective Coatings==<br />
Bottom Anti-reflective coatings (aka. BARC or AR Coating) are, in general, used for the ASML stepper. LOL2000 and PMGI can also be used as under layers.<br />
<br />
==='''[https://wiki.nanotech.ucsb.edu/wiki/images/0/07/DUV42P-Anti-Reflective-Coating.pdf DUV-42P-6]'''===<br />
''(replacement for AR2)'' <br />
<br />
*spin coat at 2500rpm for optimal anti-reflective properties (~60nm).<br />
**Mistake: this was previously written as 3500rpm.<br />
*Bake at 220°C for 60s on a hotplate.<br />
*This AR coating is removed via oxygen plasma.<br />
*This ARC can be etched on [https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#Photoresist_and_ARC_Etching_.28Panasonic_1.29 ICP#1], [https://wiki.nanotech.ucsb.edu/w/index.php?title=ICP_Etching_Recipes#Photoresist_and_ARC_etching_.28Panasonic_2.29 ICP#2], [https://wiki.nanotech.ucsb.edu/w/index.php?title=RIE_Etching_Recipes#Photoresist_and_ARC_.28RIE_5.29 RIE#5], [https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_.26_ARC_.28Fluorine_ICP_Etcher.29 FL-ICP] or even [[Ashers (Technics PEII)|Technics PEii ashers]].<br />
*Datasheet: '''[https://wiki.nanotech.ucsb.edu/wiki/images/0/07/DUV42P-Anti-Reflective-Coating.pdf DUV-42P-6]'''<br />
<br />
==='''[https://wiki.nanotech.ucsb.edu/wiki/images/a/af/DS-K101-304-Anti-Reflective-Coating.pdf DS-K101-304]'''===<br />
<br />
*spin at 5000rpm and bake at 185C for 60s.<br />
**~20nm thickness<br />
*This AR coating develops away and undercuts in AZ300MIF.<br />
**For isolated lines, this can cause them to lift-off by undercutting the resist.<br />
*Increase bake temperature to reduce undercut rate.<br />
**[[DS-K101-304 Bake Temp. versus Develop Rate]] - Click for experimental data<br />
**Can be used similarly to DUV42P (dry etch removal) by baking at 220°C.<br />
*Datasheet: '''[https://wiki.nanotech.ucsb.edu/wiki/images/a/af/DS-K101-304-Anti-Reflective-Coating.pdf DS-K101-304]'''<br />
<br />
==Positive Resist (ASML DUV)== <br />
Please see section above for anti-reflection coatings, which are usually used with the DUV Stepper.<br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Dose(mj)<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|UV6-0.7 <br> (replaced by UV6-0.8)<br />
<br />
|3.5 krpm/30”<br />
|135°C/60”<br />
|630nm<br />
|17<br />
| -0.2<br />
|135°C/90”<br />
|AZ300MIF<br />
|45”<br />
| align="left" |<br />
*200nm dense line/space<br />
*NA 0.57, Sigma 0.75<br />
*Eo ~ 5.5mj<br />
*UV6-0.7 was discontinued, we now stock UV6-0.8<br />
<br />
|-<br />
|UV210-0.3<br />
|5.0 krpm/30”<br />
|135°C/60”<br />
|230nm<br />
|20<br />
| -0.1<br />
|135°C/90”<br />
|AZ300MIF<br />
|45"<br />
| align="left" |<br />
*150nm dense line/space<br />
*'''Annular Illumination'''<br />
*NA 0.63, Sigma_o 0.8, Sigma_i 0.5<br />
<br />
|-<br />
|UV210-0.3<br />
|3.0 krpm/30”<br />
|135°C/90”<br />
|260nm<br />
|85<br />
| -0.2<br />
|135°C/90”<br />
|AZ300MIF<br />
|80”<br />
| align="left" |<br />
*170nm isolated holes<br />
*'''Annular Illumination'''<br />
*NA 0.63, Sigma_o 0.8, Sigma_i 0.5<br />
<br />
|}<br />
<br />
==Negative Resist (ASML DUV)==<br />
Please see section above for anti-reflection coatings, which are usually used with the DUV Stepper.<br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! width="100" |Resist<br />
! width="100" |Spin Cond.<br />
! width="75" |Bake<br />
! width="75" |Thickness<br />
! width="125" |Exposure Dose (mj)<br />
! width="100" |Focus Offset<br />
! width="75" |PEB<br />
! width="75" |Flood<br />
! width="100" |Developer<br />
! width="125" |Developer Time<br />
! width="300" |Comments<br />
|-<br />
|UVN30-0.8<br />
|3.5 krpm/30”<br />
|110°C/60”<br />
|~550nm<br />
|27<br />
| +0.15<br />
|105°C/60”<br />
|Not Used<br />
|AZ300MIF<br />
|55-60 sec<br />
| align="left" |<br />
*Replaced UVN2300, not identical<br />
<br />
|}<br />
<br />
== Other Lithography Processes (ASML DUV) ==<br />
* [[ASML DUV: Edge Bead Removal via Photolithography|DUV Photolithographic Edge Bead Removal]]<br />
* [[Lift-Off with DUV Imaging + PMGI Underlayer|DUV Lift-Off Process with PMGI Underlayer]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Automated_Coat/Develop_System_(S-Cubed_Flexi)&diff=159169Automated Coat/Develop System (S-Cubed Flexi)2021-09-04T19:50:10Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=TBD.jpg<br />
|super= Tony Bosch<br />
|location=Bay 7<br />
|description = Automatic Coat/Bake/Develop<br />
|manufacturer = [https://www.s-cubed.com S-Cubed]<br />
|model = Flexi (Custom)<br />
|type = Wet Processing<br />
|recipe = Lithography<br />
|materials =<br />
|toolid=67<br />
}}<br />
'''THIS TOOL IS ONLY FOR STAFF USE AT THIS TIME.'''<br />
<br />
=About=<br />
<br />
The S3-Coater is a Coater/Developer system that has one photoresist spinner, one developer spinner and 4 hotplates each with independent temperature control and a chill plate. A central robot picks your wafer/s from one of 2 cassettes, processes them and returns them to the cassette. The system is recipe driven with a high degree of process control and minimal backside contamination, and coats photoresists with low particle counts/streaks and high uniformity. <br />
<br />
At this time only full size substrates are allowed on this system. The S3 Coater is still in process development and not open for general use.<br />
<br />
=Detailed Specifications=<br />
<br />
*Wafer Size: 100mm (150mm possible but not set up)<br />
*PR Coating Properties:<br />
**Uniformity < 1.0%<br />
**< 100 particles on 100mm wafer<br />
*Photoresists/Underlayers Available:<br />
**UV6-0.8<br />
**DS-K101-304<br />
**PMMA<br />
**PMGI SF11<br />
**PMGI SF5<br />
*Solvents Available:<br />
**EBR100<br />
*Developers Available:<br />
**AZ 300 MiF<br />
<br />
=Process Information=<br />
<br />
*Recipe Page for S-Cubed Coater: [[Lithography Recipes#Automated%20Coat.2FDevelop%20System%20Recipes%20.28S-Cubed%20Flexi.29|Lithography Recipes > Automated Coat/Develop System Recipes (S-Cubed Flexi]])<br />
*See the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Chemicals_Stocked_.2B_Datasheets Photolith. Chemicals page] for info on the installed resists.<br />
<br />
=Operating Procedures=<br />
<br />
*[[S-Cubed Flexi - Operating Procedure|Standard Operating Procedure]] - For running pre-written recipes only.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=ASML_Stepper_3_Error_Recovery,_Troubleshooting_and_Calibration&diff=159168ASML Stepper 3 Error Recovery, Troubleshooting and Calibration2021-09-04T19:50:06Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>===Common Warnings===<br />
Pay attention to the ''Warnings'' window, and look for these important messages:<br />
*'''''Laser gas refill needed soon''''' or '''''Laser Gas Injection Required'':'''<br />
**BEFORE your exposure, please run the "[[ASML Stepper 3 Error Recovery, Troubleshooting and Calibration#Laser Refill .28or laser calling for gas injection.29|Laser Refill]]" procedure below, or you risk the system aborting during your exposure!<br />
*'''CT Not Initialized''' <br />
**NOTIFY STAFF IMMEDIATELY, as this means the temperature controller is not maintaining the lens temp. and focus will drift. The faster we can get this re-enabled, the shorter the time for the lens temperature to stabilize.<br />
*'''Incoming Air Temp. Out of Range''' - notify staff if this appears! System focus may drift due to a temperature change in the Bay.<br />
*'''Error Log Full''' - Please follow procedure below to re-open a fresh log window.<br />
The following messages in the ''Warnings'' window do not require any action<br />
*''Machine is Idle: Light Source Switched Off'' - no action needed.<br />
*''Empty Input Carrier -'' in a high-volume foundry this is an "''Operator Error''", but not in the NanoFab.<br />
<br />
===Wafer Recovery===<br />
See the [https://wiki.nanotech.ucsb.edu/w/index.php?title=ASML_5500:_Recovering_from_an_Error Wafer Recovery page] for procedure on how to recover from an error and get your wafers back.<br />
<br />
===Error Log full===<br />
''Log windows shows <<Log File is Full>> and no further messages are appended.''<br />
#Close logging window that says "''log file full"'' by Right-Clicking on the ''Logging'' title-bar and choose "'''Quit'''"<br />
#Right-Click on Blue Desktop, and in the resulting menu:<br />
#Click "'''Local'''". A new Logging window should open in the proper location.<br />
<br />
===Wafer Handler Issues during Batch operation===<br />
<br />
#Make sure no Batches are Running or Waiting! '''Abort''' the Batch or '''Clear''' the Queue.<br />
##Never exit the Task Streaming screen while Batches are incomplete/running, you will enter software limbo on infinite loop drive, requiring a software restart.<br />
#('''''DO NOT''''' ''do FAST STARTUP from error message, go to main menu!)''<br />
#Lift off the magnetic black cover behind keyboard<br />
#Press '''WHR''' button for 1 sec and release (Wafer Handler Reset)<br />
#Watch the Warning windows and Logging Window; wait until wafer handler ''“system booting”'' message is done and warning message in bottom left of main computer console has disappeared. Log window should say it booted successfully. This takes a few minutes.<br />
#Exit to '''Main Menu'''<br />
#Go to '''Start/Stop'''<br />
#'''Fast Startup'''<br />
#'''Start''' - will reinitialize the wafer handler system<br />
#Once complete, back to '''Main Menu'''<br />
#If wafers in machine, go to material handler '''(Mat Hdl)'''. <br />
##Go to '''Remove Wafers''' menu and '''Collect Wafers'''<br />
##Back to '''Main Menu''' when finished.<br />
#If system says wafer is missing, contact engineer - we need to physically locate the wafer to make sure the wafer doesn't get broken in the machine.<br />
<br />
===IQC (Image Quality Control) Calibration Check===<br />
''Use this procedure to check the system's focus calibration. Important before running <250nm feature litho jobs, including focus-exposure matrices (FEM's). It is common to add IQC verification as a step in your traveler before sub-250nm lithography steps.''<br />
#'''Main Menu > Test Manager > Run Tests > Metrology Verification > Image Quality Control'''<br />
#'''Accept''' (Upper Button)<br />
#'''Perform Measurement'''<br />
#Choose '''System Correction'''<br />
##<nowiki># Cycles = 1</nowiki><br />
##<nowiki># Measurements = 3</nowiki><br />
##<nowiki># Corrections = 1</nowiki><br />
##'''M''' "Measure" mode only (does Not update internal lookup tables)<br />
##(UCSB numbers for test 1 3 1 M)<br />
#'''Accept''' to run the test<br />
##If '''[Focus | Mean Correction]''' < 50nm, system OK, note number in log book<br />
##If '''[Focus | Mean Correction]''' > 50nm, run test again, if number keeps dropping, keep running until number stable<br />
##If '''[Focus | Mean Correction]''' is still > 50nm, discuss with engineer <br />
#Record the '''[Focus | Mean Correction]''' values for each run in the logbook please! This helps us determine whether there is a problem and how to remedy.<br />
#[[File:ASML IQC Focus Mean Correction screenshot.jpg|alt=Screenshot of IQC results screen. |none|thumb|450x450px|Results of Image Quality Control screen, showing the “Mean Focus Correction” value to record ]]<br />
<br />
===Laser Refill (or laser calling for gas injection)===<br />
<br />
#'''Main Menu > Test Manager'''<br />
#Open Cmd Handler '''(Cmd Hdl)''' (Top Menu)<br />
#'''Illumination and Projection > Illumination'''<br />
#Use '''Laser Start''' if laser asking for gas injection, otherwise do '''New Laser Fill'''<br />
<br />
===Failed Alignment Marks - Choosing new alignment marks for Pre-Alignment===<br />
''If your job aborted with "Alignment failure" on the E- or P-Chuck, because your alignment marks are damaged, this is how to edit your job to use different alignment marks.''<br />
<br />
#Edit your job with Modify Job<br />
#Go to '''''Layer Layout > Process Data'''''<br />
#Use Layer: '''''(Prev)''''' / '''''(Next)''''' to select the layer you need to change alignment marks for<br />
#Under '''''Prealignment Mode''''': '''''Selection: Mark 1___ & Mark 2___''''' choose the alignment marks to align to, and use a microscope to make sure these alignment marks are (a) present on your wafer and (b) look ok with no defects.<br />
##The wafer schematic shows which alignment marks you are choosing.<br />
##The alignment marks, defined on a previous layer (usually combined with the first litho), are programmed/named in: ''<to be added: where in the program the AlMks are defined>''<br />
#Your "Fine" alignment marks will probably also fail if they're set to use the same marks! Fix this by editing your '''Wafer Layout > Alignment Strategy''', and select different alignment marks or set some "backup" marks. Make sure your '''Layer Layout > Strategy Selection''' is using this new/updated strategy.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Chemical_List_-_OLD_2018-09-05&diff=159167Chemical List - OLD 2018-09-052021-09-04T19:50:03Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>Below is a list of all chemicals authorized for use in the lab & links to the corresponding Material Safety Data Sheets (MSDS). <br />
<br />
Only the chemicals that appear in '''bold''' are stocked by the lab for general use. All other listed chemicals have been authorized for individual users/companies to bring in for their own use. <br />
<br />
MSDS sheets can be used to determine the concentrations and constituents of a particular chemical, in addition to safety, handling and emergency/exposure information. Please take time to look at the MSDS of the chemicals you use! <br />
=Acids=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:Acetic Acid MSDS.pdf|Acetic Acid MSDS]]'''<br />
*'''[[media:Al Etchant, Type A MSDS.pdf|Al Etchant, Type A MSDS]]'''<br />
*'''[[media:Al Etchant, Type D MSDS.pdf|Al Etchant, Type D MSDS]]'''<br />
*[[media:BoricAcidMSDS.pdf|Boric Acid MSDS]]<br />
*'''[[media:Buffered HF.pdf|Buffered HF MSDS]]'''<br />
*'''[[media:Chromic Acid.pdf|Chromic Acid MSDS]]'''<br />
*'''[[media:ChromiumMaskEtchantMSDS.pdf|Chromium Mask Etchant MSDS]] "1020"'''<br />
*'''[[media:CitricAcidMSDS.pdf|Citric Acid (granular) MSDS]]'''<br />
*[[media:FormicAcidMSDS.pdf|Formic Acid 88% MSDS]]<br />
*'''[[media:HydrobromicAcidMSDS.pdf|Hydrobromic Acid MSDS]]'''<br />
*'''[[media:HydrochloricAcidMSDS.pdf|Hydrochloric Acid MSDS]]'''<br />
*'''[[media:HydrofluoricAcidMSDS.pdf|Hydrofluoric Acid 49% MSDS]]'''<br />
*[[media:HydroiodicAcidMSDS.pdf|Hydroiodic Acid 47% MSDS]]<br />
*[[media:LacticAcidFractionalMSDS.pdf|Lactic Acid 0.1 Normal Volumetric MSDS]]<br />
| width="300" |<br />
*[[media:LacticAcidMSDS.pdf|Lactic Acid MSDS]]<br />
*[[media:MethacrylicAcidMSDS.pdf|Methacrylic Acid MSDS]]<br />
*'''[[media:NickelEtchantTFBMSDS.pdf|Nickel Etchant, Type TFB MSDS]]'''<br />
*'''[[media:NitricAcidMSDS.pdf|Nitric Acid MSDS]]'''<br />
*[[media:OxalicAcidDihydrateMSDS.pdf|Oxalic Acid Dihydrate MSDS]]<br />
*'''[[media:PhosphoricAcidMSDS.pdf|Phosphoric Acid MSDS]]'''<br />
*[[media:SelenousAcidMSDS.pdf|Selenous Acid MSDS]]<br />
*[[media:SuccinicAcid99%MSDS.pdf|Succinic Acid 99% MSDS]]<br />
*[[media:SulfamicAcidMSDS.pdf|Sulfamic Acid MSDS]]<br />
*[[media:SulfuricAcid93%MSDS.pdf|Sulfuric Acid 93% MSDS]]<br />
*[[media:SulfuricAcidFuming.pdf|Sulfuric Acid Fuming MSDS]]<br />
*'''[[media:SulfuricAcidMSDS.pdf|Sulfuric Acid MSDS]]'''<br />
*[[media:TartaricAcidMSDS.pdf|Tartaric Acid MSDS]]<br />
*'''[[media:TiEtchantMSDS.pdf|Ti Etchant, Type TFTN MSDS]]'''<br />
|-<br />
|}<br />
<br />
=Bases=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AmmoniumHydroxideMSDS.pdf|Ammonium Hydroxide MSDS]]'''<br />
*'''[[media:AmmoniumSulfideMSDS.pdf|Ammonium Sulfide MSDS]]'''<br />
*'''[[media:PotassiumHydroxideMSDS.pdf|Potassium Hydroxide MSDS]]'''<br />
*'''[[media:SodiumHydroxideMSDS.pdf|Sodium Hydroxide MSDS]]'''<br />
| width="300" |<br />
*'''[[media:SodiumSulfideMSDS.pdf|Sodium Sulfide MSDS]]'''<br />
*'''[[media:THAH25MSDS1.pdf|TMAH 25% MSDS #1]]'''<br />
*'''[[media:THAH25MSDS2.pdf|TMAH 25% MSDS #2]]'''<br />
|-<br />
|}<br />
<br />
=Lithography Chemicals=<br />
Please see the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Lithography_Recipes#Chemical_Datasheets Recipes > Lithography > Chemical Datasheets]page for the datasheets, which include starting parameters for your fabrication processes.<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center; font-size: 95%" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! align="center" bgcolor="#D0E7FF" width="250" |'''Chemical'''<br />
! align="center" bgcolor="#D0E7FF" width="250" |'''MSDS'''<br />
! align="center" bgcolor="#D0E7FF" width="150" |'''Datasheet'''<br />
|-<br />
|1165 Stripper||[[media:1165 Stripper MSDS.pdf|1165 Stripper MSDS]]||[[media:1165 Stripper Datasheet.pdf|1165 Stripper Datasheet]]<br />
|-<br />
|'''Accuglass T-12B'''||[[media:AccuglassT-12B-MSDS.pdf|Accuglass T-12B MSDS]] ||<br />
|-<br />
|'''AP 3000 Adhesion Promoter'''||[[media:AP-3000-Adhesion-MSDS.pdf|AP 3000 Adhesion Promoter]] ||<br />
|-<br />
|'''AZ 300 MIF Developer'''|| ||<br />
|-<br />
|AZ 300T Stripper|| ||<br />
|-<br />
|'''AZ 400K Developer'''|| ||<br />
|-<br />
|'''AZ 726 MIF Developer'''|| ||<br />
|-<br />
|'''AZ EBR Edge Bead Remover'''|| ||<br />
|-<br />
|AZ LOL 2000 Photoresist|| ||<br />
|-<br />
|AZ nLOF 2020 Photoresist|| ||<br />
|-<br />
|AZ nLOF 5510 Photoresist|| ||<br />
|-<br />
|'''AZ NMP Stripper'''<br />
|[https://wiki.nanotech.ucsb.edu/w/index.php?title=Media:AZ_NMP_RINSE_MSDS.pdf AZ NMP RINSE]<br />
|[https://www.microchemicals.com/products/remover_stripper/nmp.html NMP Docs on MicroChem]<br />
|-<br />
|'''AZ P4110 Photoresist'''|| ||<br />
|-<br />
|'''AZ P4210 Photoresist'''|| ||<br />
|-<br />
|'''AZ P4330 RS Photoresist'''|| ||<br />
|-<br />
|'''AZ P5214 EIR Photoresist'''|| ||<br />
|-<br />
|CEM 365 IS|| ||<br />
|-<br />
|Cyclotene 4024-40 BCB|| ||<br />
|-<br />
|DS 2100 VCS Developer|| ||<br />
|-<br />
|'''HMDS'''|| ||<br />
|-<br />
|ma-N 2403 Photoresist|| ||<br />
|-<br />
|mr-l-7000 Imprint Polymer|| ||[[media:mr-l-7000-Imprint-Polymer.pdf|mr-l-7000 Datasheet]]<br />
|-<br />
|MCC-101 Developer|| ||<br />
|-<br />
|Nano MMA Photoresist|| ||<br />
|-<br />
|NR7-1500PY Photoresist|| ||<br />
|-<br />
|NXR-1010 Imprint Resist|| ||<br />
|-<br />
|NXR-1020 Imprint Resist|| ||[[media:NXR-1020-Datasheet.pdf|NXR-1020 Datasheet]]<br />
|-<br />
|OCG 825 Photoresist|| ||<br />
|-<br />
|PMGI SF-series Photoresist|| ||<br />
|-<br />
|PRX-127 Stripper|| ||<br />
|-<br />
|RD6 Developer|| ||<br />
|-<br />
|S1805 Photoresist|| ||<br />
|-<br />
|'''SPR 220 Photoresist'''|| ||<br />
|-<br />
|SPR 510A Photoresist|| ||<br />
|-<br />
|SPR 518A Photoresist|| ||<br />
|-<br />
|SPR 950-0.8 Photoresist|| ||<br />
|-<br />
|'''SPR 955 CM'''|| ||<br />
|-<br />
|SVC-14 Stripper|| ||<br />
|-<br />
|Thinner P|| ||<br />
|-<br />
|}<br />
<br />
=Solvents=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AcetoneMSDS.pdf|Acetone MSDS]]'''<br />
*'''[[media:AmylAcetateMSDS.pdf|Amyl Acetate MSDS]]'''<br />
*'''[[media:EthylAlcoholMSDS.pdf|Ethyl Alcohol (Ethanol) MSDS]]'''<br />
*'''[[media:EthyleneGlycolMSDS.pdf|Ethylene Glycol MSDS]]'''<br />
*'''[[media:MethylAlcoholMSDS.pdf|Methyl Alcohol (Methanol) MSDS]]'''<br />
| width="300" |<br />
*'''[[media:MethylEthylKetoneMSDS.pdf|Methyl Ethyl Ketone MSDS]]'''<br />
*'''[[media:MethylIsobutylKetoneMSDS.pdf|Methyl Isobutyl Ketone (MIBK) MSDS]]'''<br />
*'''[[media:PropanolMSDS.pdf|Propanol MSDS]]'''<br />
*'''[[media:TolueneMSDS.pdf|Toluene MSDS]]'''<br />
*'''[[media:XylenesMSDS.pdf|Xylenes MSDS]]'''<br />
|-<br />
|}<br />
<br />
=Other Chemicals=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AmmoniumSulfideMSDS.pdf|Ammonium Sulfide MSDS]]'''<br />
*'''[[media:Crystalbond509MSDS.pdf|Crystalbond 509 MSDS]]'''<br />
*'''[[media:GenSolve500MSDS.pdf|GenSolve 500 MSDS]]'''<br />
*'''[[media:GenTak230MSDS.pdf|GenTak 230 MSDS]]'''<br />
*'''[[media:GoldEtchantTypeTFAMSDS.pdf|Gold Etchant, Type TFA MSDS]]'''<br />
*'''[[media:HydrogenPeroxideMSDS.pdf|Hydrogen Peroxide (30% dilute) MSDS]]'''<br />
| width="300" |<br />
*'''[[media:NickelEtchantTFBMSDS.pdf|Nickel Etchant Type TFB MSDS]]'''<br />
*'''[[media:PC3-1500SpinOnGlassMSDS.pdf|PC3-1500 Spin-On-Glass MSDS]]'''<br />
*'''[[media:SaturatedBromineWaterMSDS.pdf|Saturated Bromine Water MSDS]]'''<br />
*'''[[media:SilverEtchantTypeTFS.pdf|Silver Etchant Type TFS MSDS]]'''<br />
*'''[[media:Technic25ESGoldPlatingSolution.pdf|Technic 25 ES Gold Plating Solution MSDS]]'''<br />
*'''[[media:KJL 705 pump oil.pdf|Kurt J Lesker 705 pump oil MSDS]]'''<br />
|-<br />
|}<br />
<br />
==UNSORTED==<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*[[media:DN-201GP-Photoresist-MSDS.pdf|DN-201 GP Photoresist MSDS]]<br />
*[[media:(4-Methylthiophenyl)methyl phenyl sulfonium triflate MSDS.pdf|(4-Methylthiophenyl)methyl phenyl sulfonium triflate MSDS]]<br />
*[[media:(4-Phenylthiophenyl)diphenylsulfonium triflate MSDS.pdf|(4-Phenylthiophenyl)diphenylsulfonium triflate MSDS]]<br />
*[[media:2-Bromo-3-hexylthiophene MSDS.pdf|2-Bromo-3-hexylthiophene MSDS]]<br />
*[[media:2-Hydroxy-2-Methylpropiophenone MSDS.pdf|2-Hydroxy-2-Methylpropiophenone MSDS]]<br />
*[[media:3-Aminopropyl)triethoxysilane MSDS.pdf|3-Aminopropyl)triethoxysilane MSDS]]<br />
*[[media:18-Crown-6 MSDS.pdf|18-Crown-6 MSDS]]<br />
*[[media:1165 Stripper MSDS.pdf|1165 Stripper MSDS]]<br />
*[[media:Accuglass 103 Solvent MSDS.pdf|Accuglass 103 Solvent MSDS]]<br />
*[[media:Accuglass T-12B MSDS.pdf|Accuglass T-12B MSDS]]<br />
*[[media:ACCUGLASS T-12B Spin-On-Glass MSDS.pdf|ACCUGLASS T-12B Spin-On-Glass MSDS]]<br />
*[[media:ACEM 365iS MSDS.pdf|ACEM 365iS MSDS]]<br />
*[[media:Additive S-1 MSDS.pdf|Additive S-1 MSDS]]<br />
*[[media:Adhesion Promoter AP3000 MSDS.pdf|Adhesion Promoter AP3000 MSDS]]<br />
*[[media:Adhesion Promoter VM-651 MSDS.pdf|Adhesion Promoter VM-651 MSDS]]<br />
*[[media:Alkyl Quaternary Ammonium Bentonite MSDS.pdf|Alkyl Quaternary Ammonium Bentonite MSDS]]<br />
*[[media:Alpha Alpha Alpha Trifluorotoluene MSDS.pdf|Alpha Alpha Alpha Trifluorotoluene MSDS]]<br />
*[[media:Aluminum Fluoride MSDS.pdf|Aluminum Fluoride MSDS]]<br />
*[[media:Aluminum Oxide MSDS.pdf|Aluminum Oxide MSDS]]<br />
*[[media:Ammonia MSDS.pdf|Ammonia MSDS]]<br />
*[[media:Ammonium Chloride MSDS.pdf|Ammonium Chloride MSDS]]<br />
*[[media:Ammonium Tartrate MSDS.pdf|Ammonium Tartrate MSDS]]<br />
*[[media:Antimony Powder MSDS.pdf|Antimony Powder MSDS]]<br />
*[[media:AP 3000 Adhesion Promoter MSDS.pdf|AP 3000 Adhesion Promoter MSDS]]<br />
*[[media:AquaBond 55 MSDS.pdf|AquaBond 55 MSDS]]<br />
*[[media:AquaBond 65 MSDS.pdf|AquaBond 65 MSDS]]<br />
*[[media:AquaBond 85 MSDS.pdf|AquaBond 85 MSDS]]<br />
*[[media:AquaClean 900 MSDS.pdf|AquaClean 900 MSDS]]<br />
*[[media:ARC i-CON-7 Anti Reflective MSDS.pdf|ARC i-CON-7 Anti Reflective MSDS]]<br />
*[[media:ARC i-CON-16 MSDS.pdf|ARC i-CON-16 MSDS]]<br />
*[[media:Arcosolv PM Solvent MSDS.pdf|Arcosolv PM Solvent MSDS]]<br />
*[[media:Argon MSDS.pdf|Argon MSDS]]<br />
*[[media:Axarel 2200 Defluxed Degreaser MSDS.pdf|Axarel 2200 Defluxed Degreaser MSDS]]{|<br />
*[[media:AZ 300 MIF Developer MSDS.pdf|AZ 300 MIF Developer MSDS]]<br />
*[[media:AZ 300T Stripper MSDS.pdf|AZ 300T Stripper MSDS]]<br />
*[[media:AZ 400K Developer MSDS.pdf|AZ 400K Developer MSDS]]<br />
*[[media:AZ 726 MIF Developer MSDS.pdf|AZ 726 MIF Developer MSDS]]<br />
*[[media:AZ 726 MIF Developer MSDS2.pdf|AZ 726 MIF Developer (2) MSDS]]<br />
*[[media:AZ 1518 Photoresist MSDS.pdf|AZ 1518 Photoresist MSDS]]<br />
*[[media:AZ Aquatar VIII-A 45 MSDS.pdf|AZ Aquatar VIII-A 45 MSDS]]<br />
*[[media:AZ Aquatar VIII-A 45 MSDS(2).pdf|AZ Aquatar VIII-A 45 MSDS (2)]]<br />
*[[media:AZ Developer MSDS.pdf|AZ Developer MSDS]]<br />
*[[media:AZ EBR Edge Bead Remover MSDS.pdf|AZ EBR Edge Bead Remover MSDS]]<br />
*[[media:AZ LExp.KDB087F 42 MSDS.pdf|AZ LExp.KDB087F 42 MSDS]]<br />
*[[media:AZ LOL 2000 Photoresist MSDS.pdf|AZ LOL 2000 Photoresist MSDS]]<br />
*[[media:AZ nLOF 2020 Photoresist MSDS.pdf|AZ nLOF 2020 Photoresist MSDS]]<br />
*[[media:AZ nLOF 2070 Photoresist MSDS.pdf|AZ nLOF 2070 Photoresist MSDS]]<br />
*[[media:AZ nLOF 5510 Photoresist MSDS.pdf|AZ nLOF 5510 Photoresist MSDS]]<br />
*[[media:AZ P4110 Photoresist MSDS.pdf|AZ P4110 Photoresist MSDS]]<br />
*[[media:AZ P4210 Photoresist MSDS.pdf|AZ P4210 Photoresist MSDS]]<br />
*[[media:AZ P4330 RS Photoresist MSDS.pdf|AZ P4330 RS Photoresist MSDS]]<br />
*[[media:AZ P4620 Photoresist MSDS.pdf|AZ P4620 Photoresist MSDS]]<br />
*[[media:AZ P5214 EIR Photoresist MSDS.pdf|AZ P5214 EIR Photoresist MSDS]]<br />
*[[media:AZ R200 Coating MSDS.pdf|AZ R200 Coating MSDS]]<br />
*[[media:AZ SH-114A MSDS.pdf|AZ SH-114A MSDS]]<br />
*[[media:Benzoyl Peroxide MSDS.pdf|Benzoyl Peroxide MSDS]]<br />
*[[media:Bipyridyl Dichlororuthenium II Hexahydrate MDSD.pdf|Bipyridyl Dichlororuthenium II Hexahydrate MDSD]]<br />
*[[media:BIS Cyclopentadienyl Iron (Ferrocene) MSDS.pdf|BIS Cyclopentadienyl Iron (Ferrocene) MSDS]]<br />
*[[media:BIS Cyclopentadienyl Magnesium MSDS.pdf|BIS Cyclopentadienyl Magnesium MSDS]]<br />
*[[media:Bismuth.pdf|Bismuth]]<br />
*[[media:Brewer Science WaferBOND HT-10 MSDS.pdf|Brewer Science WaferBOND HT-10 MSDS]]<br />
*[[media:Brewer Science WaferBOND Remover MSDS.pdf|Brewer Science WaferBOND Remover MSDS]]<br />
*[[media:Bromine MSDS.pdf|Bromine MSDS]]<br />
*[[media:Buffered Oxide Etch JT Baker MSDS.pdf|Buffered Oxide Etch JT Baker MSDS]]<br />
*[[media:CAaD Poymers MSDS.pdf|CAaD Poymers MSDS]]<br />
*[[media:Calcium Fluoride MSDS.pdf|Calcium Fluoride MSDS]]<br />
*[[media:Carbon Black MSDS.pdf|Carbon Black MSDS]]<br />
*[[media:Carbon Tetrachloride MSDS.pdf|Carbon Tetrachloride MSDS]]<br />
*[[media:CEM 365 IS MSDS.pdf|CEM 365 IS MSDS]]<br />
*[[media:Cesium Fluoride MSDS.pdf|Cesium Fluoride MSDS]]<br />
*[[media:Cesium Hydroxide Monohydrate MSDS.pdf|Cesium Hydroxide Monohydrate MSDS]]<br />
*[[media:CF19-2186 Part A MSDS.PDF|CF19-2186 Part A MSDS]]<br />
*[[media:CF19-2186 Part B MSDS.PDF|CF19-2186 Part B MSDS]]<br />
*[[media:Chlorine MSDS.pdf|Chlorine MSDS]]<br />
*[[media:Chloroform MSDS.pdf|Chloroform MSDS]]<br />
*[[media:Chromium Etchant 1020AC MSDS.pdf|Chromium Etchant 1020AC MSDS]]<br />
*[[media:CLEVIOS P MSDS.pdf|CLEVIOS P MSDS]]<br />
*[[media:Clorobenzene MSDS.pdf|Clorobenzene MSDS]]<br />
*[[media:Collodion MSDS.pdf|Collodion MSDS]]<br />
*[[media:Copolmyer MMA(8.5)MAA(EL9) MSDS.pdf|Copolmyer MMA(8.5)MAA(EL9) MSDS]]<br />
*[[media:Copper (II) Sulfate Pentahydrate MSDS.pdf|Copper (II) Sulfate Pentahydrate MSDS]]<br />
*[[media:Cyclohexane MSDS.pdf|Cyclohexane MSDS]]<br />
*[[media:Cyclopentanone MSDS.pdf|Cyclopentanone MSDS]]<br />
*[[media:Cyclotene 3022 MSDS.pdf|Cyclotene 3022 MSDS]]<br />
*[[media:Cyclotene 4000 Resin MSDS.pdf|Cyclotene 4000 Resin MSDS]]<br />
*[[media:Cyclotene 4022 MSDS.pdf|Cyclotene 4022 MSDS]]<br />
*[[media:Cyclotene 4024-40 BCB MSDS.pdf|Cyclotene 4024-40 BCB MSDS]]<br />
*[[media:Cyclotene 4026 Resin MSDS.pdf|Cyclotene 4026 Resin MSDS]]<br />
| width="300" |<br />
*[[media:DE-6018 Polymide Developer MSDS.pdf|DE-6018 Polymide Developer MSDS]]<br />
*[[media:DE9040 Developer MSDS.pdf|DE9040 Developer MSDS]]<br />
*[[media:Developer DS3000 MSDS.pdf|Developer DS3000 MSDS]]<br />
*[[media:Dibenzo-18-crown-6 MSDS.pdf|Dibenzo-18-crown-6 MSDS]]<br />
*[[media:Diethoxyethane MSDS.pdf|Diethoxyethane MSDS]]<br />
*[[media:Diethylzinc MSDS.pdf|Diethylzinc MSDS]]<br />
*[[media:Dimethyldydrazine MSDS.pdf|Dimethyldydrazine MSDS]]<br />
*[[media:Dimethylsila-17-Crown-6 MSDS.pdf|Dimethylsila-17-Crown-6 MSDS]]<br />
*[[media:Dimethylsulfoxide MSDS.pdf|Dimethylsulfoxide MSDS]]<br />
*[[media:Disilane MSDS.pdf|Disilane MSDS]]<br />
*[[media:Dodecanethiol MSDS.pdf|Dodecanethiol MSDS]]<br />
*[[media:Dow Corning WL-5150 MSDS.pdf|Dow Corning WL-5150 MSDS]]<br />
*[[media:DS 2100 BCB Developer MSDS.pdf|DS 2100 BCB Developer MSDS]]<br />
*[[media:Dynasolve 165 MSDS.pdf|Dynasolve 165 MSDS]]<br />
*[[media:Dynasolve 185 MSDS.pdf|Dynasolve 185 MSDS]]<br />
*[[media:EC11 Solvent MSDS.pdf|EC11 Solvent MSDS]]<br />
*[[media:ECF-63 Gold Plating Solution MSDS.pdf|ECF-63 Gold Plating Solution MSDS]]<br />
*[[media:EKC 1020 Photoresist MSDS.pdf|EKC 1020 Photoresist MSDS]]<br />
*[[media:EKC 265 Stripper MSDS.pdf|EKC 265 Stripper MSDS]]<br />
*[[media:Epo Tek H20E, Part A & B.pdf|Epo Tek H20E]]<br />
*[[media:Er(TMOD)3 MSDS.pdf|Er(TMOD)3 MSDS]]<br />
*[[media:Ethylenediamine MSDS.pdf|Ethylenediamine MSDS]]<br />
*[[media:FC-4430 MSDS.pdf|FC-4430 MSDS]]<br />
*[[media:FC-4432 MSDS.pdf|FC-4432 MSDS]]<br />
*[[media:FOX-16 Flowable Oxide MSDS.pdf|FOX-16 Flowable Oxide MSDS]]<br />
*[[media:FTO 100-FBA5 Etchant MSDS.pdf|FTO 100-FBA5 Etchant MSDS]]<br />
*[[media:Fe-Pt Bimetallic Nanoparticles in Hexane MSDS.pdf|Fe-Pt Bimetallic Nanoparticles in Hexane MSDS]]<br />
*[[media:Ferric Chloride Hexahydrate MSDS.pdf|Ferric Chloride Hexahydrate MSDS]]<br />
*[[media:Ferric Nitrate Nonahydrate MSDS.pdf|Ferric Nitrate Nonahydrate MSDS]]<br />
*[[media:Ferrous Sulfate MSDS.pdf|Ferrous Sulfate MSDS]]<br />
*[[media:Filmtronics 700B Spin On Glass MSDS.pdf|Filmtronics 700B Spin On Glass MSDS]]<br />
*[[media:Fomblin Y-LVAC 25-6 MSDS.pdf|Fomblin Y-LVAC 25/6 MSDS]]<br />
*[[media:Freon 13B1 Refrigerant MSDS.pdf|Freon 13B1 Refrigerant MSDS]]<br />
*[[media:Gadolinium Oxide MSDS.pdf|Gadolinium Oxide MSDS]]<br />
*[[media:Gallium Arsenide MSDS.pdf|Gallium Arsenide MSDS]]<br />
*[[media:Germanium MSDS.pdf|Germanium MSDS]]<br />
*[[media:Glycerol MSDS.pdf|Glycerol MSDS]]<br />
*[[media:Gold Chloride Hydrate MSDS.pdf|Gold Chloride Hydrate MSDS]]<br />
*[[media:Gold Etchant GE-8148-GE-8110 MSDS.pdf|Gold Etchant GE-8148/GE-8110 MSDS]]<br />
*[[media:HD-8820 MSDS.pdf|HD-8820 MSDS]]<br />
*[[media:HD-8820 Polyimide MSDS.pdf|HD-8820 Polyimide MSDS]]<br />
*[[media:HMDS MSDS.pdf|HMDS MSDS]]<br />
*[[media:Hafnium MSDS.pdf|Hafnium MSDS]]<br />
*[[media:Hafnium Oxide MSDS.pdf|Hafnium Oxide MSDS]]<br />
*[[media:Hexadecane MSDS.pdf|Hexadecane MSDS]]<br />
*[[media:Hexamethyldisilazane MSDS.pdf|Hexamethyldisilazane MSDS]]<br />
*[[media:Hexanediol Diacrylate MSDS.pdf|Hexanediol Diacrylate MSDS]]<br />
*[[media:Hydrogen Iodide MSDS.pdf|Hydrogen Iodide MSDS]]<br />
*[[media:Hydrogen MSDS.pdf|Hydrogen MSDS]]<br />
*[[media:IC1-200 Spin On Glass MSDS.pdf|IC1-200 Spin On Glass MSDS]]<br />
*[[media:Imidazole MSDS.pdf|Imidazole MSDS]]<br />
*[[media:Indium MSDS.pdf|Indium MSDS]]<br />
*[[media:Indium Phosphide MSDS.pdf|Indium Phosphide MSDS]]<br />
*[[media:Iodine MSDS.pdf|Iodine MSDS]]<br />
*[[media:Iodine-Bromide Solution MSDS.pdf|Iodine-Bromide Solution MSDS]]<br />
*[[media:Iridium (IV) Chloride MSDS.pdf|Iridium (IV) Chloride MSDS]]<br />
*[[media:Isopropyl Alcohol MSDS.pdf|Isopropyl Alcohol MSDS]]<br />
*[[media:JSR NFC FZX F112 Photoresist Overcoat MSDS.pdf|JSR NFC FZX F112 Photoresist Overcoat MSDS]]<br />
*[[media:JSR NSD 2533Y Photoresist MSDS.pdf|JSR NSD 2533Y Photoresist MSDS]]<br />
*[[media:LDD 26W Developer MSDS.pdf|LDD 26W Developer MSDS]]<br />
*[[media:LOL 2000 Lift Off Layer MSDS.pdf|LOL 2000 Lift Off Layer MSDS]]<br />
*[[media:LOR A Photoresist MSDS.pdf|LOR A Photoresist MSDS]]<br />
*[[media:LOR B Photoresist MSDS.pdf|LOR B Photoresist MSDS]]<br />
*[[media:Lanthanum Fluoride MSDS.pdf|Lanthanum Fluoride MSDS]]<br />
*[[media:Lead Metal MSDS.pdf|Lead Metal MSDS]]<br />
*[[media:MF 24A Developer MSDS.pdf|MF 24A Developer MSDS]]<br />
*[[media:MF-701 Developer MSDS.pdf|MF-701 Developer MSDS]]<br />
*[[media:MICRAL 9400 MSDS.pdf|MICRAL 9400 MSDS]]<br />
*[[media:MMA(8.5)MAA Copolymer Series Resists MSDS.pdf|MMA(8.5)MAA Copolymer Series Resists MSDS]]<br />
*[[media:MR-i 7000 series MSDS.pdf|MR-i 7000 series MSDS]]<br />
*[[media:Magnesium Fluoride MSDS.pdf|Magnesium Fluoride MSDS]]<br />
*[[media:Manganese Pieces MSDS.pdf|Manganese Pieces MSDS]]<br />
*[[media:Manganese Sulfate MSDS.pdf|Manganese Sulfate MSDS]]<br />
*[[media:Mesitylene MSDS.pdf|Mesitylene MSDS]]<br />
*[[media:Methacryloxypropyltrimethoxysilane-3 MSDS.pdf|Methacryloxypropyltrimethoxysilane-3 MSDS]]<br />
*[[media:Methane MSDS.pdf|Methane MSDS]]<br />
*[[media:Methylstyrene MSDS.pdf|Methylstyrene MSDS]]<br />
*[[media:Methyltrimethoxysilane MSDS.pdf|Methyltrimethoxysilane MSDS]]<br />
*[[media:MicroChem 101 Developer MSDS.pdf|MicroChem 101 Developer MSDS]]<br />
*[[media:Microposit 351 Developer MSDS.pdf|Microposit 351 Developer MSDS]]<br />
*[[media:Microposit S1822 Photoresist MSDS.pdf|Microposit S1822 Photoresist MSDS]]<br />
*[[media:Microprime HP Primer MSDS.pdf|Microprime HP Primer MSDS]]<br />
*[[media:Mung MSDS.pdf|Mung MSDS]]<br />
| width="300" |<br />
*[[media:N Methyl 2 Pyrrolidone MSDS.pdf|N Methyl 2 Pyrrolidone MSDS]]<br />
*[[media:NANO 495PMMA MSDS.pdf|NANO 495PMMA MSDS]]<br />
*[[media:NANO LOR B Series Resist MSDS.pdf|NANO LOR B Series Resist MSDS]]<br />
*[[media:NANO MMA(17.5)MAA EL 10 MSDS.pdf|NANO MMA(17.5)MAA EL 10 MSDS]]<br />
*[[media:NEB-31 Photoresist MSDS.pdf|NEB-31 Photoresist MSDS]]<br />
*[[media:NOE Etch I MSDS.pdf|NOE Etch I MSDS]]<br />
*[[media:NR5-8000 Photoresist MSDS.pdf|NR5-8000 Photoresist MSDS]]<br />
*[[media:NR7-1500PY Photoresist MSDS.pdf|NR7-1500PY Photoresist MSDS]]<br />
*[[media:NR71-6000PY Photoresist MSDS.pdf|NR71-6000PY Photoresist MSDS]]<br />
*[[media:NR9-8000 Photoresist MSDS.pdf|NR9-8000 Photoresist MSDS]]<br />
*[[media:NXR-1010 Imprint Resist MSDS.pdf|NXR-1010 Imprint Resist MSDS]]<br />
*[[media:NXR-1020 Imprint Resist MSDS.pdf|NXR-1020 Imprint Resist MSDS]]<br />
*[[media:NXR-2010 Imprint Resist MSDS.pdf|NXR-2010 Imprint Resist MSDS]]<br />
*[[media:NXR-3020 Imprint Resist MSDS.pdf|NXR-3020 Imprint Resist MSDS]]<br />
*[[media:NXR-3022 Imprint Resist MSDS.pdf|NXR-3022 Imprint Resist MSDS]]<br />
*[[media:Nano MMA Photoresist MSDS.pdf|Nano MMA Photoresist MSDS]]<br />
*[[media:Nano PMGI SF-series Photoresist MSDS.pdf|Nano PMGI SF-series Photoresist MSDS]]<br />
*[[media:NanoRemover PG Photoresist Remover MSDS.pdf|NanoRemover PG Photoresist Remover MSDS]]<br />
*[[media:NanoStrip MSDS.pdf|NanoStrip MSDS]]<br />
*[[media:Nanochem OMA MSDS.pdf|Nanochem OMA MSDS]]<br />
*[[media:Negative Resist NR9-8000 MSDS.pdf|Negative Resist NR9-8000 MSDS]]<br />
*[[media:Nickel Ammonium Sulfate MSDS.pdf|Nickel Ammonium Sulfate MSDS]]<br />
*[[media:Nickel Chloride MSDS.pdf|Nickel Chloride MSDS]]<br />
*[[media:Nickel MSDS.pdf|Nickel MSDS]]<br />
*[[media:Nickel Sulfamate MSDS.pdf|Nickel Sulfamate MSDS]]<br />
*[[media:Nickel Sulfamate Plating Solution MSDS.pdf|Nickel Sulfamate Plating Solution MSDS]]<br />
*[[media:Nickel Sulfamate Semi-Bright Nickel "S" MSDS.pdf|Nickel Sulfamate Semi-Bright Nickel "S" MSDS]]<br />
*[[media:Nickel Sulfate Crystal MSDS.pdf|Nickel Sulfate Crystal MSDS]]<br />
*[[media:Nickelous Sulfate MSDS.pdf|Nickelous Sulfate MSDS]]<br />
*[[media:Nickle (II) Carbonate MSDS.pdf|Nickle (II) Carbonate MSDS]]<br />
*[[media:Nitrogen MSDS.pdf|Nitrogen MSDS]]<br />
*[https://drive.google.com/embeddedfolderview?id=1mscwkrCLWJ7Vorkk-E8HokFy1VK_ZHGw#list MSDS Drive #3 (DJ)]<br />
|-<br />
|}<br />
<br />
{{MSDS}}</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Vapor_HF_Etch&diff=159166Vapor HF Etch2021-09-04T19:50:01Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=IMG_2416_1.jpg<br />
|type = Dry Etch<br />
|super= Mike Silva<br />
|phone=(805)839-3918x219<br />
|location=Bay 2<br />
|email=silva@ece.ucsb.edu<br />
|description = Vapor HF Etcher<br />
|manufacturer = [http://www.spts.com/products/release-etch/uEtch/ SPTS Inc]<br />
|materials =<br />
|toolid=31<br />
}} <br />
= About =<br />
The applications of this tool are mainly in MEMS-device fabrication areas (releasing a MEMS structure by etching a sacrificial SiO<sub>2</sub> layer below) with the use of Al<sub>2</sub>O<sub>3</sub>, Al or some other metal as an etch mask at 45<sup>o</sup>C. The tool uses vapor HF (VHF), EtOH (Ethanol vapor is an Alcohol-based one, ionizing the HF and activating etching, influencing within wafer etch uniformity, and being most compatible with VHF), and N<sub>2</sub> gases and can process small sample(s) (on a 8<sup>"</sup> Si carrier wafer) up to an 8<sup>"</sup> wafer. There are 5 standard etch recipes installed in the tool with the SiO<sub>2</sub> undercut-etch-rate from low to high. The SiO<sub>2</sub> undercut-etch-rate is from ~10nm/min to ~350nm/min.<br />
<br />
=Documentation=<br />
*[[media:SPTS-Primaxx uEtch Presentation-a.pdf|System Manual Part A]]<br />
*[[media:SPTS-Primaxx uEtch Presentation-b.pdf|System Manual Part B]]<br />
<br />
=Recipes=<br />
* See the [https://wiki.nanotech.ucsb.edu/w/index.php?title=Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29 Vapor HF Recipes] page for recipe specific to this tool.<br />
* See the general [[Dry Etching Recipes]] page for a table of all etch recipes across all tools.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=IR_Thermal_Microscope_(QFI)&diff=159165IR Thermal Microscope (QFI)2021-09-04T19:49:58Z<p>Jcrode: Text replacement - "/wiki/index.php" to "/w/index.php"</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=QFI.jpg<br />
|type = Inspection, Test and Characterization<br />
|super= Brian Lingg<br />
|location=Bay 2<br />
|description = QFI Labwalker Failure Analysis System<br />
|manufacturer = [http://www.quantumfocus.com/ QFI]<br />
|materials = <br />
|toolid=2<br />
}}<br />
==About==<br />
<br />
#The InfraScope "HotSpot" software is a fault isolation tool for semiconductor failure analysis. The InfraScope detects thermal infrared photons emitted from hot areas on semiconductor circuits. Such hot spot sites often mark the location of a process fault or damaged location of a circuit, such as a short circuit.<br />
#The "Emmi" software detects photons emitted from electron-hole recombination sites on semiconductor circuits. Such recombination sites often mark the location of a process fault or damaged location of a circuit. The NIR cameral operates at 400nm - 1,000nm wavelength.<br />
#The "Thermal Map" software allows the user to perform temperature mapping of materials and devices. The user easily determines the exact temperature at any point by color or by simply positioning the mouse arrow over the desired point and reading the desired temperature. This technique saves literally hundreds of hours over non-infrared techniques and it doesn't damage or affect the part in any way.<br />
<br />
==Capabilities==<br />
<br />
*6 inch heated chuck, default temp = 40°C<br />
*Micrometer probes<br />
*Dark box<br />
*Two Keithley DC power supplies (SMU's). 0-200VDC & 0-1000VDC. <br />
**Users may bring their own power supplies as needed for their specific devices and material testing.<br />
*The tool has better than 2.7µm resolution.<br />
*Cameras & Microscope objectives:<br />
**Visible: 1x Macro<br />
**Visible + Near Infrared: 2.5x, 5x, 20x, 50x<br />
**Mid-IR: 2x, 5x, 15x<br />
*The MWIR camera (LN2 cooled InSb) nominally operates at 1um - 5um wavelength with filtering nominally from 2-4µm, although intentional light emission beyond the filtered range is commonly observed. <br />
**Users working on Mid-Infrared photonics can use this to take microscope observations of sub-threshold MIR optical emission. '''Do ''not'' shine high power laser emission towards the camera!'''<br />
<br />
==Manuals & Software==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/Images/uploads/2018/QFI_Docs/InfraScope%20TM%20XP%20Manual%20Draft-20apr2009%203.pdf QFI Infrascope User Manual]<br />
*Offline analysis software is available for the ThermalMap and HotSpot tools. Email [[Brian Lingg]] for access.<br />
*Python scripts to analyze thermal map data are also available, here: [https://wiki.nanotech.ucsb.edu/w/index.php?title=Calculators_%2B_Utilities#Python_Scripts Utilities > Python Scripts]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Microscopes&diff=159164Microscopes2021-09-04T19:49:46Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=microscopes.jpg<br />
|type = Inspection, Test and Characterization<br />
|super= Demis D. John<br />
|location=Bay 3, 6 & 7<br />
|description = Optical Microscopes<br />
|manufacturer = Nikon & Olympus<br />
}}<br />
<br />
==Procedures & Tools==<br />
Most General-Use Microscopes do not require formal training - please see the pages below for specifics.<br />
<br />
===[[UCSB NanoFab Microscope Training|'''Microscope Training Guide''']]===<br />
This guide explains general usage info & the many advanced features available on most of our microscopes. New users are encouraged to read this, and optionally request in-person training by [[Demis D. John|Demis]].<br />
<br />
===Image Analysis Software===<br />
Many of our microscopes have cameras and software for image capture. If you know the ''microscope and objective'' used for acquiring a photo, you can make calibrated measurements on the photos at your own desktop using the following software:<br />
<br />
*[https://www.amscope.com/software-download AmScope Software] - microscope image analysis software<br />
**[[Amscope Quickstart Usage Guide]]<br />
**AmScope Calibration File containing calibrations for all NanoFab microscopes: [https://wiki.nanotech.ucsb.edu/wiki/Images/uploads/2020/AmScopeCalsAll.magn Download Here]<br />
**Also available on '''''Nanofiles-SFTP / Manuals / Amscope'''''<br />
*[https://fiji.sc FIJI] - scientific image anaylsis software<br />
**[[FIJI - Microscope Measurement Tools|The Microscope Measurement Tools plugin]] has pre-configured calibrations for NanoFab microscopes & SEMs, and allows you to draw length measurements.<br />
***''Calibrations in this plugin repository are out of date as of microscope upgrades in 2019''.<br />
**There are many [https://imagej.net/Category:Plugins other useful plugins], for particle counting, [https://stackoverflow.com/questions/6230353/how-to-create-gif-animation-from-a-stack-of-jpgs/37193012#37193012 creating animations] etc.<br />
<br />
==General-Use Microscopes==<br />
''These microscopes do not require formal training to use. However, if you have never used a motorized objective turret, please see the [[UCSB NanoFab Microscope Training|'''Microscope Training Guide''']] for safety info.'' <br />
<br />
===Microscope #2: Nikon Optiphot 200 (Bay 2)===<br />
<br />
*Trinocular: Ocular Binoc. + Camera (Simultaneous)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
**DIC only available on 100x and 150x mags due to image quality reduction at low mags due to DIC prisms which were removed for low mags.<br />
*Top Reflected Illumination (Episcopic)<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #3: Nikon Eclipse L200 (Bay 6)===<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/images/8/80/Nikon-Microscope-Manual.pdf Manual for Nikon Eclipse L200D]<br />
*Trinocular: Binoc. + Camera (Mutually Exclusive)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top Reflected Illumination (Episcopic)<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #4: Nikon Eclipse L200D (Bay 6)===<br />
<br />
*Trinocular: Binoc. + Camera (Mutually Exclusive)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top Reflected (Episcopic) & Bottom Transmission (Diascopic) Illumination<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for desktop measurements. [https://www.amscope.com/software-download Link here].<br />
<br />
===Microscope #5: [[Fluorescence Microscope (Olympus MX51)|Fluorescence Microscope: Olympus MX51 (Bay 6)]]===<br />
<br />
*See the wiki page for the [[Fluorescence Microscope (Olympus MX51)|Olympus MX51]] for full details<br />
*Trinocular: Binoc. + Camera (Simultaneous)<br />
*Native Olympus Stream Software:<br />
**Photo/video capture<br />
**Calibrated measurement (calibrations locked)<br />
*Objectives: 5x, 10x, 20x, 50x, 100x, 150x<br />
*Filters: Green, ND<br />
*Bright/Dark Field<br />
*Differential Interference Contrast (DIC/Nomarski)<br />
*Top (Episcopic) & Bottom (Diascopic) Illumination<br />
*Three Fluorescence Filters (requires training, see main tool page for specs.)<br />
<br />
[[File:Microscope 01 Olympus BHMJL crop.png|alt=Photo of Microscope #01 in the Characterization lab, Room 1111|thumb|200x200px|Microscope #01]]<br />
<br />
===Microscope #1: Olympus BHMJL (''removed temporarily'')===<br />
<br />
*Trinocular: Ocular Binoc. + Camera (Exclusive)<br />
*LED Illuminator, Variable<br />
*Objectives: ''10x, 20x, 50x, 100x''<br />
*Bright/Dark Field<br />
*Top Reflected (Episcopic) & Bottom Transmission (Diascopic) Illumination<br />
*AMScope 14MP Cameras with calibrated software for measurements. Software available for offline measurements: [https://www.amscope.com/software-download Link here].<br />
*User Manual: [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=File:Olympus_-_BHM_Microscope_-_Instruction_Manual.pdf Olympus BHM Microscopes - Instruction Manual (PDF)]<br />
<br />
==Microscopes Requiring Training==<br />
''The following microscopes require training from the supervisor. Click on the appropriate tool page to see the supervisor info.''<br />
<br />
===Microscope #6: [[Deep UV Optical Microscope (Olympus)|DUV Microscope: Olympus MX61A-DUV (Bay 4)]]===<br />
Please see the main tool page for detailed info on this microscope: [[Deep UV Optical Microscope (Olympus)]]<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Owner to get training.<br />
<br />
*Motorized Stage + Objective Turret<br />
*Trinocular: Binoc. + Camera (Simultaneous)<br />
*Objectives: 5x, 10x, 20, 50x, 100x, DUV-100x<br />
*Filters: ''to be added''<br />
*Native Olympus MX61 Software control & Camera<br />
**Calibrated measurements (calibrations locked)<br />
**Z (focus) measurement via motorized stage height<br />
*Deep-UV Light source + DUV Camera<br />
**DUV-100x sub-micron imaging/measurement<br />
<br />
===Microscope #7: [[Digital Microscope (Olympus DSX1000)|Olympus DSX1000 Digital Microscope (Bay 4)]]===<br />
Please see the main tool page for detailed info on this microscope, click the link above.<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Supervisor to get training.<br />
<br />
*Motorized Stage + Objective Turret<br />
*Digital Viewing via Computer<br />
*Objectives: High-Res.: 5x, 50x // Long-Distance + Angled Viewing: 10x, 40x<br />
*Filters: <br />
**Bright Field<br />
**Dark Field<br />
**DIC/Nomarski<br />
*Native Olympus Software control & Camera<br />
**Calibrated measurements (calibrations locked)<br />
**Z (focus) measurement via motorized stage height<br />
**Rapidly capture multiple imaging modes<br />
**Offline Analysis Software available for free<br />
*Tilted Imaging capabilities, for deep (> few micron) features.<br />
<br />
===[[Laser Scanning Confocal M-scope (Olympus LEXT)|Olympus LEXT Confocal Microscope (Bay 4)]]===<br />
See the main tool page for complete info: [[Laser Scanning Confocal M-scope (Olympus LEXT)]]<br />
YOU ARE REQUIRED TO GET TRAINED on this tool before you are allowed to use it! Please contact the Tool Owner to get training.<br />
<br />
*Motorized stage + Objective Turret<br />
*100mm wafer stage<br />
*Native Olympus OLS2000 Software & Built-In Camera:<br />
**Calibrated measurement (calibrations locked)<br />
**Image stitching capabilities<br />
*3D Laser-Scanning Confocal Microscopy capability:<br />
**3D Topographical measurement (optical profilometry)<br />
**Surface roughness estimations (large roughness)<br />
**Thin-Film Film-Thickness Measurements (thicker films)<br />
<br />
=== [[Filmetrics F40-UV Microscope-Mounted|Filmetrics F40-UV / Olympus BHMJL (Bay 4)]] ===<br />
<br />
* Very simple, manual microscope.<br />
* Has spectroscopic reflectometer attached for thin-film measurements in small (<100µm) area.<br />
* See the tool page for Training on the Filmetrics Thin-Film Measurement tool.<br />
<br />
==Procedures & Documentation==<br />
<br />
*[[UCSB NanoFab Microscope Training|'''Microscope Training''']] - General procedures and info for using our microscopes<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/8/80/Nikon-Microscope-Manual.pdf Nikon Microscope Instruction Manual (Ecplise)]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/2/28/Nikon-Microscope-OptiPhot-Manual.pdf Nikon Microscope Instruction Manual (Optiphot)]<br />
*[https://www.amscope.com/software/AmScope/MU-Series-Complete-Manual-Complete.pdf AM Scope manual link].<br />
*[https://www.amscope.com/software-download AM Scope Software link].</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=ICP_Etch_2_(Panasonic_E626I)&diff=159163ICP Etch 2 (Panasonic E626I)2021-09-04T19:49:44Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ICP1.jpg<br />
|type = Dry Etch<br />
|super= Tony Bosch<br />
|phone=(805)839-3918x219<br />
|location=Bay 2<br />
|email=silva@ece.ucsb.edu<br />
|description = ICP Etch<br />
|manufacturer = Panasonic Factory Solutions <br />
|materials = <br />
|toolid=23<br />
}} <br />
= About =<br />
<br />
This is a single-chamber tool for etching of a variety of materials. The chamber is configured as an ICP etching tool with 1000 W ICP power, 500 W RF substrate power, and RT - 80°C operation with back-side He cooling and an electrostatic chuck to maintain controlled surface temperatures during etching. This chamber has Cl<sub>2</sub>, BCl<sub>3</sub>, CF<sub>4</sub>, CHF<sub>3</sub>, SF<sub>6</sub>, Ar, N<sub>2</sub>, and O<sub>2 </sub>for gas sources and can be used to etch a variety of materials from SiO<sub>2</sub> to metals to compound semiconductors. The chamber is evacuated with a 2000 lpm Osaka Vacuum magnetically levitated turbo pump, allowing for fast pump down. <br />
<br />
The system is also equipped with a red laser monitoring system from Intellemetrics for more precise etch stop control.<br />
<br />
= Detailed Specifications =<br />
<br />
*1000 W ICP source, 500 W RF Sample Bias Source in etching chamber <br />
*Room Temp. – 80°C sample temperature for etching. Default 15°C Chuck temperature. <br />
*Optimal Emission Monitoring <br />
*Etch pressure from 0.1 Pa to 5 Pa (0.75 mT - 37.5 mT) <br />
*Cl<sub>2</sub>, BCl<sub>3</sub>, (Ar or CHF<sub>3</sub>), (CF<sub>4</sub> or SF<sub>6</sub>), N<sub>2</sub>, and O<sub>2</sub> in etch chamber<br />
*O<sub>2</sub>, N<sub>2</sub>, CF<sub>4</sub>, H<sub>2</sub>O Vapor for ashing chamber <br />
*Single 6” diameter wafer capable system <br />
*Pieces possible by mounting to 6” wafer<br />
*670nm laser endpoint detector with camera and simulation software: [[Laser Etch Monitoring|Intellemetrics LEP 500]]<br />
<br />
=Documentation=<br />
*{{file|ICP-Etch-2-Operating-Manual.pdf|Operating Instruction Manual}}<br />
*{{file|Panasonic2.pdf|Training Notes}}<br />
*{{file|Gas-Change.pdf|Gas Change Instructions}}<br />
*{{file|manualwafertransfer.pdf|Manual Wafer Transfer Instructions}}<br />
*[[Laser Etch Monitoring|Laser Etch Monitor procedures]]<br />
*Online Training Video:<br />
**[https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=8b676980-1c9a-420c-a2e5-ac180139939d <u>Panasonic ICP#2 Training</u>]<br />
**'''Important:''' ''This video is for reference only, and does not give you authorization to use the tool. You must be officially authorized by the supervisor before using this machine.''<br />
<br />
= Recipes =<br />
* [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29 ICP2 Recipes & Historical Data]<br />
** Starting point recipes for ICP2 specifically.<br />
** ''Historical Data'' records "calibration" etches to test tool performance.<br />
<br />
* [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Dry_Etching_Recipes Dry Etching Recipes]<br />
** Table of all dry etching recipes, showing which tools can etch which materials etc.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Editing_Tutorials&diff=159162Editing Tutorials2021-09-04T19:49:26Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>NanoFab users are highly encouraged to contribute their own work, characterizations and corrections to our Wiki! Read on to learn how to do this.<br />
<br />
__TOC__<br />
<br />
<br />
==Basic Editing==<br />
===Editing an Existing Page===<br />
The First step is to log in: <br />
<br />
[[File:Wiki- Log In - Screen Shot 2017-11-30 at 4.14.49 PM.png|border]]<br />
<br />
All active NanoFab users can make a login - see [[Frequently Asked Questions#How do I get a login to the wiki.3F|this FAQ]] for how to do that. <br />
<br />
Then navigate to the page you want to edit. <br />
<br />
Once you are at the page, click on "'''''Edit'''''" to launch the [https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide Visual Editor]. "''Edit Source''", allows you to edit the [https://en.wikipedia.org/wiki/Help:Cheatsheet wikimarkup] source code directly, which is much less intuitive and '''not recommended'''. <br />
[[image:Basic1.png|thumb|none|600px|Click Edit.]]<br />
After clicking ''[Edit]'', you will be able to type directly into the page to make your changes. <br />
<br />
There are a couple of key things to note on this page. <br />
<br />
Press the '''[< Back]''' button on your web browser to cancel/discard any changes you made.<br><br />
'''Save page''': Clicking this will save any and all changes you have made.<br><br />
'''Show preview''': This will show you a preview of what the page will look like after you save it.<br><br />
'''Show changes''': This will give you a before and after comparison of the page.<br><br />
'''Cancel''': This will cancel any changes.<br><br />
<br />
*The Visual Editor opened by hitting ''[Edit]'' is pretty self-explanatory for most purposes. See the [https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide Visual Editor User Guide] for more info on using the editor. The "[https://www.mediawiki.org/wiki/Help:VisualEditor/User_guide#Editing_tables Editing Tables]" section is useful for our etch/deposition tables.<br />
*Please use '''Show Preview''' to make sure your edits look as expected.<br />
*Be sure to enter a brief ''description of what you changed'', so Editors can approve you changes quickly.<br />
<br />
The two editing methods:<br />
<br />
Using the Visual Editor on a text page, via the '''[Edit]''' tab (recommended):<br />
[[File:VisualEditor In Action v1.gif|alt=Visual Editor in action, animated|none|thumb|650x650px]]<br />
<br />
(<u>Not recommended</u>) The '''''[Edit Source]''''' mode, showing wikimarkup source code for the page. ''[Rich Editor]'' provides some code shortcuts:<br />
[[image:Basic2.png|thumb|none|650x650px]]<br />
<br />
We do have some old antiquated "coded" parts of the site, which are holdovers from before we installed the modern "Visual Editor". Due to existing page linking/URLs, many of these can't be changed (such as code used for superscripts and subscripts in page names).<br />
<br />
===Making a New Page===<br />
Only Staff & Admins can make new pages. If you want to do this but don’t have permission, please let the [[Wiki Admin|wiki admin]] know and we’ll be happy to help you complete your edits.<br />
<br />
The preferred way to make a new page is to first create a Link to a page that doesn't exist (within an existing page), and then follow that Link to create the new page. Do this as follows:<br />
<br />
#On the existing page, [Edit] the page, and type the text you would like to become a hyperlink.<br />
#Select the desired text, and click the Hyperlink button [[File:Editing Toolbar Hyperlink Button 01.png|24x24px]] which pops up the link panel.<br />
#Type the '''''desired name of the new page''''' into the "search" field - it will show a red link in the search field, indicating that the page doesn't exist yet. [[File:Editing Tutorial - Hyperlink panel nonexisting page 01.png|alt=Screenshot of hyperlink panel, Creating a hyperlink to a nonexisting page.|none|thumb|Creating a hyperlink to a nonexisting page.]]<br />
#Click the Red Link in the menu, which then sets this as the target of your Hyperlink.[[File:Editing Tutorial - Hyperlink panel nonexisting page 02 - CLICK arrow.png.png|alt=Screenshot showing Hyperlink panel to nonexisting page - Showing Arrow to CLICK on RedLink|none|thumb|Click on the RedLink in the search results.]]<br />
#Save the changes you have made to this page.<br />
#After Saving the page, you will see a "Red Link" - '''''colored red because it leads to a nonexistent page.''''' [[File:RedLink Screenshot.png|none|thumb|189x189px|A "red link", linking to a nonexistent page.]]<br />
#Click the red-link to the nonexistent page, which will show an option to create the new page.<br />
#Click [Create] to make the new page in VisualEditor mode (defaults to Source mode, which is not recommended). [[File:Click on "Create" Tab to enter VisualEditing Mode.png|alt=Screenshot showing to Click on "Create" Tab to enter VisualEditing Mode|none|thumb|506x506px|Click on the "Create" Tab to enter VisualEditing Mode]]<br />
#Make sure to "Save" the new page!<br />
<br />
===Inserting images into a page===<br />
Only Staff & Admins May upload files. If you want to do this but don’t have permission, please let the [[Wiki Admin|wiki admin]] know and we’ll be happy to help you complete your edits.<br />
<br />
There are numerous ways to insert an image into a page you are editing. Here we describe one method only that is the easiest to follow.<br />
<br />
In addition, there are various options that allow you to insert the image inline with text, or on it's own line with a caption+border, or off to the side with text flowing around it. These are each described at the bottom.<br />
<br />
#You should be in VisualEditor mode on the page, not source mode. Click the '''|Create|''' tab to enter VisualEditor mode.<br />
#Place your text cursor in the location you would like to insert the image.<br />
#In the VisualEditor toolbar, click '''''Insert > Media'''''. You can insert your image in two ways<br />
##If the image is already uploaded to the server, use the "''<u>Search</u>''" tab to find it and select it.<br />
##If you would like to upload a new image from your computer, switch to the "''<u>Upload</u>''" tab > (Choose File) to select the file on your computer, and upload it. Please give it a filename that begins with the purpose of the image, eg. "''LEXT Instructions - Cancel button.jpg''" or "''E-Beam1 Tool Photograph.png''". This makes it easy to search for your image, and know what it is used for (and it won't get accidentally deleted this way!).<br />
#Click "'''''Use This Image'''''". The Image's "'''Media Settings'''" window will automatically pop up. The "'''General Settings'''" fields are optional.<br />
#Switch to the "'''Advanced Settings'''" panel<br />
##If you want to have the image "float" to any convenient place on the page, leave '''[√] Wrap text around this item''' enabled. See the "Floating image" example to the right → [[File:MacOS - Happy Mac.png|alt=floating happy mac image|thumb|"Floating" Image example]]<br />
###To include a caption, use the '''Thumbnail''' image type, and set the caption in the '''General Settings''' pane.<br />
##If you want the image to show up in-line with your text, like this: [[File:MacOS - Happy Mac.png|frameless|20x20px]]<br />
###Uncheck '''[√] ''Wrap text around this item'''''<br />
###Choose "frameless" or "basic" image type<br />
##Optionally click '''''Image Size > Custom''''' and reduce ''only'' ''one'' of the sizes until the image size looks good. <br />
###Image Widths (1st field) of about 200-600px look good as stand-alone images, floating on the page.<br />
###Image Heights (2nd field) of about 10-40px look good inline next to text.<br />
#Click '''''Insert''''' to insert the image. You can always Double-Click the image or Choose the '''''Edit''''' button to change these settings again.<br />
<br />
===Linking to a PDF or other file===<br />
First you upload the PDF (or other acceptable file type), and copy the link to the newly uploaded PDF, and then paste that link into your Wiki page. It is useful to do this with two separate windows open, one for editing the wiki page you want to add a link on, and another for uploading the file. <br />
<br />
#Make sure you are logged in. If you get logged out during this process, don't close any windows, [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Frequently_Asked_Questions#.22Something_went_wrong.22_while_saving_my_edits.21_How_do_I_keep_my_edits.3F instead follow this].<br />
#Optionally, '''''open a new web-browser window''''' for performing the file upload.<br />
#In the left sidebar, near the bottom, click '''Upload FIle''' <br />
#:[[File:Editing Tutorials - Upload PDF - 1- upload file.png|border]]<br />
#Click '''[Choose File]''' and select the file from your computer you want to upload.<br />
#:[[File:Editing Tutorials - Upload PDF - 2- choose file.png|238x238px|border]]<br />
#'''Enter the filename''' you'd like the file to have once it is on the server. Something simple like "ToolName - SOP.pdf" etc. Make sure you keep the same file extension. Also Enter a '''Description''' of the file, can be as simple as the above. This makes it searchable on the wiki.<br />
#:[[File:Editing Tutorials - Upload PDF - 3b- filename descript upload button.png|300x300px|border]] <br /><br />
#Click the '''[Upload]''' button, and wait for the upload to complete (after which a new page will show).<br />
##If it shows a warning, choose '''[Submit modified filename/description]'''<br />
#::[[File:Editing Tutorials 3c- modifications etc..png|500x500px|border]]<br />
#On the resulting ''File Info'' page, right-click the file link and '''Copy Link/URL'''.<br />
#:[[File:Editing Tutorials 4b- file info right click URL.png|381x381px|border]]<br />
#Back on the wiki page you're editing, select the text you want to link, and paste in the URL as an ''External Link''.<br />
#:[[File:Editing Tutorials - 5- insert link into page.png|400x400px|border]]<br />
<br />
==== Linking to a file you already uploaded ====<br />
If you already uploaded the file and now need to link to it, you can find the uploaded file and copy the link as follows:<br />
# On the left sidebar, at the bottom section "'''Tools'''", click "'''Upload File'''".<br />
# On that page, click the link for "'''List of Uploaded Files'''" in the 2nd sentence.<br />
# Locate your file in the list.<br />
# Click the Title of the file, which brings you to the File's ''File Info'' page - same as the above procedure (Step 7). <br />
#: [[File:Editing Tutorials - List of Uploaded Files - click the file title to get file page.png|625x625px]]<br />
# From here, follow Step 7 above onwards - you can now copy the directly link to the file itself, and insert into your desired Wiki page, as described above.<br />
<br />
=== Updating an uploaded file to a new version ===<br />
You can replace an uploaded file with a new version. This means any links will now point to the new version.<br />
* Find the "File:" page for the existing file on the wiki. Do this by:<br />
** Searching the Wiki for the ''file name'' of the original file, or part of the file name. '''Hit [Enter] in the search bar''' to show all search results.<br />
** In the search results, click on the Wiki-Page of your File - it will begin with "'''File:'''", such as "File: My Photo.jpg"<br />
** Under "File History", click "'''upload new version'''".<br />
** Upload your new version of the file. It will automatically have exact same destination file name on the server, so all links to the file will still work.<br />
** (It can take ~1 day for user's web browsers to stop using cached old versions of the file.)<br />
<br />
==Administration==<br />
<br />
===Approving Edits (Staff/Admin only)===<br />
While lab users are allowed to modify the wiki, their edits will not become visible to anyone until a staff member approves those edits. (When any member of the staff edits a page, those edits are automatically approved.) <br />
<br />
'''NOTE TO''' '''STAFF:''' '''Take care to watch for approvals before editing''' because if a page has edits waiting to be approved and you edit the page normally, those edits will automatically be approved!! Before you edit a page, always make sure that there are no new revisions waiting to be approved (this is described below). <br />
<br />
When you arrive at a page, you will see one of two messages. Either '''"No new revisions"''' or '''"THERE ARE NEW REVISIONS TO THIS PAGE."'''.<br />
{|<br />
|-<br />
|[[image:Approve1.png|none|thumb|600px|No new revisions.]]||[[image:Approve2.png|none|thumb|600px|THERE ARE NEW REVISIONS TO THIS PAGE.]]<br />
|-<br />
|}<br />
If there are new revisions, click on the '''history''' tab. <br />
[[image:Approve3.png|none|thumb|600px|History tab.]]<br />
This will take you to the full edit history of the page. You will see an entry that has a star on it, this is the current approved revision. Anything after that (above it) has not yet been approved. Put the LEFT radial button on the currently approved revision and set the right radial button anywhere above it to compare other additions. Click "'''Compare selected revisions'''". <br />
[[image:Approve4.png|none|thumb|1000px|History]]<br />
The window will show you the before (on the left) and the after (on the right). You can also scroll down to see how the NEW page actually looks (AFTER the edit you are view has taken effect). In this case, the user "Guest" has deleted the sentence "The Sharon is a cryo-pumped thin film evaporator with a Temescal four hearth 270° bent beam evaporation source." and has inserted the sentence "THIS IS A TEST". If you like the edit and want to keep it, go back (by pressing the back button in your web-browser) and then click approve next to the edit in question. If you do NOT like the edit, click "'''undo'''", then scroll to the bottom of the page that opens and click save. If you like part of the edit but want to modify it, approve it, then edit the page as you normally would.<br />
[[image:Approve5.png|none|thumb|900px|]]<br />
<br />
===My Watchlist===<br />
Your watchlist is the set of pages that you are "watching" for changes. You can view you watchlist at any time by clicking the link in the top right of the screen. [[image:Watch1.png|thumb|none|400px|]]<br />
<br />
I strongly recommend that you each add your tools to your watch list. This will allow you to see whenever these pages are modified by a user. To add a page to your watch list, go to the page you want to add and click on "'''watch'''" at the top of the page. (To remove a page from you watchlist, do the same thing, the button will read "'''unwatch'''" instead.)<br />
[[image:Watch2.png|thumb|none|400px|]]<br />
<br />
You can also set it up so that you will recieve an e-mail any time one of the pages on your watchlist is changed (by someone other then yourself). I would also HIGHLY recommend doing this. These emails will come from NanofabWiki@ece.ucsb.edu so you can setup a filter and put all these e-mails in one folder. You will only receive one e-mail per page between visits. In other words if 10 people make changes back to back. You will only get ONE e-mail notifying you that a change has been made, not 10 e-mails. As soon as you view the page form your account, that resets so any changes made after you view it will result in another, single e-mail. <br />
<br />
To set this up go to your preferences by click on the "'''my preferences'''".<br />
[[image:Watch3.png|thumb|none|400px|]]<br />
<br />
In your preferences you will find a lot of options. The 2 that you need to take care of are your e-mail address (enter it into the box labeled "E-mail") and you need to check the box next to "E-mail me when a page on my watch-list is changed." Save the changed by clicking "Save" at the bottom of the page.<br />
<br />
===Editing Restrictions===<br />
To prevent unauthorized editing on the site, we have setup 4 different user groups. These restrictions only pertain to EDITING. <br />
<br />
1) '''Annonymous/Unregistered users'''<br />
<br />
:Anyone not registered or not logged in will not be able to edit anything on the site.<br />
<br />
2) '''Lab Users'''<br />
<br />
:This is anyone who we know to be doing research in the lab (names taken from SignupMonkey). They are allowed to edit existing pages but are not allowed to upload files or create new pages. All edits by ''Lab Users'' have to be approved by staff members before they are viewable on the site.<br />
<br />
3) '''Staff'''<br />
<br />
:This group consist of all staff members. Staff will be able to edit 95% of the pages on the wiki. There are a select few pages on the site that are locked. For example, on the [[Main Page]], ''Staff'' do not have the option to "EDIT" the page, only the option to "VIEW SOURCE"<br />
<br />
4) '''Administrators'''<br />
<br />
:This group is for the people in charge of maintaining the nitty-gritty of the site. They have unrestricted access and can change anything on the site. They can also change the "user group level" for any user.<br />
<br />
==Troubleshooting / FAQ==<br />
<br />
===It won't let me "Save" my changes!===<br />
Sometimes the web server logs you out due to "inactivity" when you are actually just spending a long time editing a page. Thus, when you try to "'''''save page'''''", you get an error like "you are not authorized to edit this page" since it thinks you're not logged in.<br />
<br />
This is the typical error:<br />
We could not save your edit because the session was no longer valid. Do you want to save this page as an anonymous user instead? Your IP address will be recorded in this page's edit history.<br />
If this happens, do Not close the window with your unsaved edits!<br />
<br />
A quick workaround is to open a New web-browser window, navigate to the [[Main Page|wiki]] and [[Special:UserLogin|log in there]]. After a few seconds, try saving the edited page again, and it should work this time. You may have to hit "'''''Try Again'''''" for it to work.<br />
<br />
If this does not work, you want to be sure you don't lose all your hard work! One way to do this is to copy the Wiki Code into a text file on your computer, as follows: If you are using the VisualEditor (default), switch to Source Code view via the '''''Pen button''''' [[File:Visual Editor - switch to source mode - pen button.png|border|38x38px]] > '''''[Source Editing]''''', then '''''Select All''''' and '''''Copy''''' the code for the page. Then paste it into a NotePad.exe or TextEdit.app window on your computer (optionally save the file to your computer somewhere).<br />
<br />
Then, once you have managed to log back into the Wiki, you can edit the ''''''Source'''''<nowiki/>' of the page and '''''paste''''' your source code in the appropriate place. Be sure to "Preview" the results to make sure it worked as expected, and that you pasted into the right spot!<br />
<br />
===I can't upload my file - it says the file is too large===<br />
For uploaded files, there is a maximum file size limit and a limited list of allowed file types, to prevent hacking and viruses. If you run into one of these limitations please contact the [[Wiki Admin]] and they can help you get the file uploaded and properly linked.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=YES-150C-Various-Resists&diff=159161YES-150C-Various-Resists2021-09-04T19:49:23Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>Pressure drop indicates completion of etch. <br />
<br />
Once pressure flattens etching is complete. <br />
<br />
There is uncertainty in the exact completion time, somewhere between the peak pressure and the point where it goes flat. Using known PR thicknesses (spun at standard spin speeds - see the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lithography_Recipes#PositivePR datasheets]) you can estimate etch rate from these plots. <br />
<br />
[[File:YES150CResists.jpg|660x660px]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=NanoFab_Process_Group&diff=159160NanoFab Process Group2021-09-04T19:49:17Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>''This page lists various processes used internally by the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Staff_List#Process_Group NanoFab Process Group].''<br />
<br />
== Dicing Procedures ==<br />
<br />
=== Photoresist Application, Cleaning and Shipping ===<br />
* [[ProcessGroup: PR Spin for Dicing Protect - UV6|PR Spin for Dicing Protect]] - ~800nm thick PR only (UV6)<br />
* [[ProcessGroup: PR Clean of UV-6|PR Clean of UV-6]]<br />
* [[ProcessGroup: Shipping Samples on Dicing Tape+Frame|Shipping Samples on Dicing Tape+Frame]]<br />
<br />
=== Dicing Alignment Mark Exposure ===<br />
''For providing alignment marks to use while dicing.''<br />
* [[ProcessGroup: ASML Stepper 3: Dicing Alignment Guides|ASML Stepper #3: Dicing Alignment Guides]]<br />
** ''How to program the ASML to shoot some alignment markers for use during dicing.''<br />
<br />
== Process Control Calibration Procedures ==<br />
<br />
=== Etching Tools ===<br />
* [[ProcessGroup: Unaxis PM1: Indium Phosphide Etch Verification procedure|Unaxis PM1: Indium Phosphide Etch Verification Procedure]]<br />
* [[ProcessGroup: ICP|ICP#1/2: SiO2 Etch Verification Procedure]]<br />
* [[ProcessGroup: PlasmaTherm SLR: SiO2 Etch Verification Procedure|PlasmaTherm SLR: SiO2 Etch Verification Procedure]]<br />
* [[ProcessGroup: PlasmaTherm DSEiii: Si Etch Verification Procedure|PlasmaTherm DSEiii: Si Etch Verification Procedure]]<br />
<br />
=== Deposition Tools ===<br />
* [[ProcessGroup: PECVD|PECVD#1: Process Verification Procedure]]<br />
* [[ProcessGroup: PECVD|PECVD#2: Process Verification Procedure]]<br />
* [[ProcessGroup: Unaxis PM3 Process Verification Procedure|Unaxis PM3: Process Verification Procedure]]<br />
* [[ProcessGroup: IBD Process Verification Procedure|Veeco IBD: Process Verification Procedure]]<br />
<br />
== Equipment Calibration Procedures ==<br />
<br />
=== Lithography Tools ===<br />
* [[ProcessGroup: GCA 6300 Stepper|GCA 6300 Stepper #1: Calibration Procedure]]<br />
* [[ProcessGroup: GCA AutoStep 200, Stepper|GCA AutoStep 200, Stepper #2: Calibration procedure]]<br />
* [[ProcessGroup: ASML 5500 Stepper|ASML 5500 Stepper#3: Calibration Verification/Update procedure]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=CAIBE_(Oxford_Ion_Mill)&diff=159159CAIBE (Oxford Ion Mill)2021-09-04T19:49:16Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=CAIBE.jpg<br />
|type = Dry Etch<br />
|super= Brian Lingg<br />
|location=Bay 2<br />
|description = CAIBE (Chemically Assisted Ion Beam Etcher)<br />
|manufacturer = Oxford Instruments<br />
|model = Ionfab 300 Plus<br />
|materials = Various<br />
|toolid=58<br />
}} <br />
==About==<br />
<br />
This is an Oxford Instruments PlasmaLab 300 IBE/RIBE/CAIBE system used for ion beam etching of a variety of materials including metals, oxides, semiconductors. Ion beam etching (IBE) allows control of sidewall etch profiles by tilting and rotating the sample during the etch. Reactive chemistry ("Chemically Assisted Ion Beam Etching", CAIBE) can be used, when appropriate, to enhance the etch rate of materials, such as oxides, polymers, and semiconductors. <br />
<br />
This system is used to physically ion beam etch noble and inert metals with Ar ion milling, and to etch other materials that react with chlorine, fluorine, or oxygen using a reactive ion beam. The ion beam is generated in a 15cm diameter 3-grid ion source manufactured by Oxford. The Ion beam voltage & current control the etch rate. Beam voltage (related to ion energy) affects the sputter yield (atoms etched per incident ion) and Ion beam current controls the flux of ions (number of ions in the beam). Etch rate should be roughly linear with beam current. Neutralizing electrons are generated by a plasma bridge neutralizer (PBN) so that samples are not charged by ions during the etch. Samples can be cooled to 5°C or heated to 300°C for etching. He back-side cooling is used to transfer heat from(to) the sample to(from) the cooled(heated) platen. <br />
<br />
===Cluster Configuration===<br />
The Ion Mill system is clustered with 2 Oxford ALD systems, allowing the process flexibility of etching followed by ALD passivation or metalization without breaking vacuum.<br />
<br />
*Chamber #1: [[Atomic Layer Deposition (Oxford FlexAL)|ALD Metal Films only]]<br />
*Chamber #2: [[CAIBE (Oxford Ion Mill)|CAIBE Oxford Ion Mill]] (this page)<br />
*Chamber #3: [[Atomic Layer Deposition (Oxford FlexAL)|ALD Dielectrics Films only]]<br />
<br />
==Detailed Specifications==<br />
<br />
*Etch gases include: CF<sub>4</sub>, Cl<sub>2</sub>, Ar, O<sub>2</sub><br />
*Cl<sub>2</sub> available in CAIBE mode (Cl2 not entering ion gun) through a gas ring.<br />
*RIBE (reactive gas entering ion gun during RF discharge) mode for all reactive gases<br />
*Low 1 E -7 Torr ultimate chamber pressure, etch pressure ~1 E-4 Torr<br />
*15cm ion-gun with PBN neutralizer<br />
*Angled etch control from 0 degrees (normal incidence) to 75 degrees.<br />
*Sample Rotated or fixed at controlled position for etching.<br />
*Vb from 50V to over 1000V<br />
*Ib up to 500mA<br />
*He-backside cooling<br />
*Substrate temperature 5C to 300C<br />
*Sample sizes:<br />
**6" wafer (no carrier needed)<br />
**4" wafer mount with backside Helium cooling ports<br />
**2" wafer mount with backside Helium cooling ports<br />
**35mm square pieces or smaller, mount with backside Helium cooling ports<br />
*Clustered through vacuum chambers with ALD systems.<br />
*Masking material depends on material being etched and etch gas used<br />
<br />
==Recipes==<br />
Recipes can be found on the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29 '''CAIBE Recipes Page'''].<br />
<br />
==Procedures & Documentation==<br />
* [//wiki.nanotech.ucsb.edu/wiki/images/5/52/Cluster_operating_instructions.pdf Cluster Operating Instructions] - same instructions as ALD, except for the '''following difference''':<br />
** ''Make sure to securely attach your samples to the platens with clips, since the holder will be angled and rotated!'' ''6-inch wafers can be loaded as-is.''<br />
<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/7/75/Ion_Beam_Etch_Overview_rev1.pdf Additional Documentation]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Vapor_HF_Etch&diff=159158Vapor HF Etch2021-09-04T19:49:12Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=IMG_2416_1.jpg<br />
|type = Dry Etch<br />
|super= Mike Silva<br />
|phone=(805)839-3918x219<br />
|location=Bay 2<br />
|email=silva@ece.ucsb.edu<br />
|description = Vapor HF Etcher<br />
|manufacturer = [http://www.spts.com/products/release-etch/uEtch/ SPTS Inc]<br />
|materials =<br />
|toolid=31<br />
}} <br />
= About =<br />
The applications of this tool are mainly in MEMS-device fabrication areas (releasing a MEMS structure by etching a sacrificial SiO<sub>2</sub> layer below) with the use of Al<sub>2</sub>O<sub>3</sub>, Al or some other metal as an etch mask at 45<sup>o</sup>C. The tool uses vapor HF (VHF), EtOH (Ethanol vapor is an Alcohol-based one, ionizing the HF and activating etching, influencing within wafer etch uniformity, and being most compatible with VHF), and N<sub>2</sub> gases and can process small sample(s) (on a 8<sup>"</sup> Si carrier wafer) up to an 8<sup>"</sup> wafer. There are 5 standard etch recipes installed in the tool with the SiO<sub>2</sub> undercut-etch-rate from low to high. The SiO<sub>2</sub> undercut-etch-rate is from ~10nm/min to ~350nm/min.<br />
<br />
=Documentation=<br />
*[[media:SPTS-Primaxx uEtch Presentation-a.pdf|System Manual Part A]]<br />
*[[media:SPTS-Primaxx uEtch Presentation-b.pdf|System Manual Part B]]<br />
<br />
=Recipes=<br />
* See the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29 Vapor HF Recipes] page for recipe specific to this tool.<br />
* See the general [[Dry Etching Recipes]] page for a table of all etch recipes across all tools.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Chemical_List_-_OLD_2018-09-05&diff=159157Chemical List - OLD 2018-09-052021-09-04T19:49:09Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>Below is a list of all chemicals authorized for use in the lab & links to the corresponding Material Safety Data Sheets (MSDS). <br />
<br />
Only the chemicals that appear in '''bold''' are stocked by the lab for general use. All other listed chemicals have been authorized for individual users/companies to bring in for their own use. <br />
<br />
MSDS sheets can be used to determine the concentrations and constituents of a particular chemical, in addition to safety, handling and emergency/exposure information. Please take time to look at the MSDS of the chemicals you use! <br />
=Acids=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:Acetic Acid MSDS.pdf|Acetic Acid MSDS]]'''<br />
*'''[[media:Al Etchant, Type A MSDS.pdf|Al Etchant, Type A MSDS]]'''<br />
*'''[[media:Al Etchant, Type D MSDS.pdf|Al Etchant, Type D MSDS]]'''<br />
*[[media:BoricAcidMSDS.pdf|Boric Acid MSDS]]<br />
*'''[[media:Buffered HF.pdf|Buffered HF MSDS]]'''<br />
*'''[[media:Chromic Acid.pdf|Chromic Acid MSDS]]'''<br />
*'''[[media:ChromiumMaskEtchantMSDS.pdf|Chromium Mask Etchant MSDS]] "1020"'''<br />
*'''[[media:CitricAcidMSDS.pdf|Citric Acid (granular) MSDS]]'''<br />
*[[media:FormicAcidMSDS.pdf|Formic Acid 88% MSDS]]<br />
*'''[[media:HydrobromicAcidMSDS.pdf|Hydrobromic Acid MSDS]]'''<br />
*'''[[media:HydrochloricAcidMSDS.pdf|Hydrochloric Acid MSDS]]'''<br />
*'''[[media:HydrofluoricAcidMSDS.pdf|Hydrofluoric Acid 49% MSDS]]'''<br />
*[[media:HydroiodicAcidMSDS.pdf|Hydroiodic Acid 47% MSDS]]<br />
*[[media:LacticAcidFractionalMSDS.pdf|Lactic Acid 0.1 Normal Volumetric MSDS]]<br />
| width="300" |<br />
*[[media:LacticAcidMSDS.pdf|Lactic Acid MSDS]]<br />
*[[media:MethacrylicAcidMSDS.pdf|Methacrylic Acid MSDS]]<br />
*'''[[media:NickelEtchantTFBMSDS.pdf|Nickel Etchant, Type TFB MSDS]]'''<br />
*'''[[media:NitricAcidMSDS.pdf|Nitric Acid MSDS]]'''<br />
*[[media:OxalicAcidDihydrateMSDS.pdf|Oxalic Acid Dihydrate MSDS]]<br />
*'''[[media:PhosphoricAcidMSDS.pdf|Phosphoric Acid MSDS]]'''<br />
*[[media:SelenousAcidMSDS.pdf|Selenous Acid MSDS]]<br />
*[[media:SuccinicAcid99%MSDS.pdf|Succinic Acid 99% MSDS]]<br />
*[[media:SulfamicAcidMSDS.pdf|Sulfamic Acid MSDS]]<br />
*[[media:SulfuricAcid93%MSDS.pdf|Sulfuric Acid 93% MSDS]]<br />
*[[media:SulfuricAcidFuming.pdf|Sulfuric Acid Fuming MSDS]]<br />
*'''[[media:SulfuricAcidMSDS.pdf|Sulfuric Acid MSDS]]'''<br />
*[[media:TartaricAcidMSDS.pdf|Tartaric Acid MSDS]]<br />
*'''[[media:TiEtchantMSDS.pdf|Ti Etchant, Type TFTN MSDS]]'''<br />
|-<br />
|}<br />
<br />
=Bases=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AmmoniumHydroxideMSDS.pdf|Ammonium Hydroxide MSDS]]'''<br />
*'''[[media:AmmoniumSulfideMSDS.pdf|Ammonium Sulfide MSDS]]'''<br />
*'''[[media:PotassiumHydroxideMSDS.pdf|Potassium Hydroxide MSDS]]'''<br />
*'''[[media:SodiumHydroxideMSDS.pdf|Sodium Hydroxide MSDS]]'''<br />
| width="300" |<br />
*'''[[media:SodiumSulfideMSDS.pdf|Sodium Sulfide MSDS]]'''<br />
*'''[[media:THAH25MSDS1.pdf|TMAH 25% MSDS #1]]'''<br />
*'''[[media:THAH25MSDS2.pdf|TMAH 25% MSDS #2]]'''<br />
|-<br />
|}<br />
<br />
=Lithography Chemicals=<br />
Please see the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lithography_Recipes#Chemical_Datasheets Recipes > Lithography > Chemical Datasheets]page for the datasheets, which include starting parameters for your fabrication processes.<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center; font-size: 95%" border="1"<br />
|- bgcolor="#D0E7FF"<br />
! align="center" bgcolor="#D0E7FF" width="250" |'''Chemical'''<br />
! align="center" bgcolor="#D0E7FF" width="250" |'''MSDS'''<br />
! align="center" bgcolor="#D0E7FF" width="150" |'''Datasheet'''<br />
|-<br />
|1165 Stripper||[[media:1165 Stripper MSDS.pdf|1165 Stripper MSDS]]||[[media:1165 Stripper Datasheet.pdf|1165 Stripper Datasheet]]<br />
|-<br />
|'''Accuglass T-12B'''||[[media:AccuglassT-12B-MSDS.pdf|Accuglass T-12B MSDS]] ||<br />
|-<br />
|'''AP 3000 Adhesion Promoter'''||[[media:AP-3000-Adhesion-MSDS.pdf|AP 3000 Adhesion Promoter]] ||<br />
|-<br />
|'''AZ 300 MIF Developer'''|| ||<br />
|-<br />
|AZ 300T Stripper|| ||<br />
|-<br />
|'''AZ 400K Developer'''|| ||<br />
|-<br />
|'''AZ 726 MIF Developer'''|| ||<br />
|-<br />
|'''AZ EBR Edge Bead Remover'''|| ||<br />
|-<br />
|AZ LOL 2000 Photoresist|| ||<br />
|-<br />
|AZ nLOF 2020 Photoresist|| ||<br />
|-<br />
|AZ nLOF 5510 Photoresist|| ||<br />
|-<br />
|'''AZ NMP Stripper'''<br />
|[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Media:AZ_NMP_RINSE_MSDS.pdf AZ NMP RINSE]<br />
|[https://www.microchemicals.com/products/remover_stripper/nmp.html NMP Docs on MicroChem]<br />
|-<br />
|'''AZ P4110 Photoresist'''|| ||<br />
|-<br />
|'''AZ P4210 Photoresist'''|| ||<br />
|-<br />
|'''AZ P4330 RS Photoresist'''|| ||<br />
|-<br />
|'''AZ P5214 EIR Photoresist'''|| ||<br />
|-<br />
|CEM 365 IS|| ||<br />
|-<br />
|Cyclotene 4024-40 BCB|| ||<br />
|-<br />
|DS 2100 VCS Developer|| ||<br />
|-<br />
|'''HMDS'''|| ||<br />
|-<br />
|ma-N 2403 Photoresist|| ||<br />
|-<br />
|mr-l-7000 Imprint Polymer|| ||[[media:mr-l-7000-Imprint-Polymer.pdf|mr-l-7000 Datasheet]]<br />
|-<br />
|MCC-101 Developer|| ||<br />
|-<br />
|Nano MMA Photoresist|| ||<br />
|-<br />
|NR7-1500PY Photoresist|| ||<br />
|-<br />
|NXR-1010 Imprint Resist|| ||<br />
|-<br />
|NXR-1020 Imprint Resist|| ||[[media:NXR-1020-Datasheet.pdf|NXR-1020 Datasheet]]<br />
|-<br />
|OCG 825 Photoresist|| ||<br />
|-<br />
|PMGI SF-series Photoresist|| ||<br />
|-<br />
|PRX-127 Stripper|| ||<br />
|-<br />
|RD6 Developer|| ||<br />
|-<br />
|S1805 Photoresist|| ||<br />
|-<br />
|'''SPR 220 Photoresist'''|| ||<br />
|-<br />
|SPR 510A Photoresist|| ||<br />
|-<br />
|SPR 518A Photoresist|| ||<br />
|-<br />
|SPR 950-0.8 Photoresist|| ||<br />
|-<br />
|'''SPR 955 CM'''|| ||<br />
|-<br />
|SVC-14 Stripper|| ||<br />
|-<br />
|Thinner P|| ||<br />
|-<br />
|}<br />
<br />
=Solvents=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AcetoneMSDS.pdf|Acetone MSDS]]'''<br />
*'''[[media:AmylAcetateMSDS.pdf|Amyl Acetate MSDS]]'''<br />
*'''[[media:EthylAlcoholMSDS.pdf|Ethyl Alcohol (Ethanol) MSDS]]'''<br />
*'''[[media:EthyleneGlycolMSDS.pdf|Ethylene Glycol MSDS]]'''<br />
*'''[[media:MethylAlcoholMSDS.pdf|Methyl Alcohol (Methanol) MSDS]]'''<br />
| width="300" |<br />
*'''[[media:MethylEthylKetoneMSDS.pdf|Methyl Ethyl Ketone MSDS]]'''<br />
*'''[[media:MethylIsobutylKetoneMSDS.pdf|Methyl Isobutyl Ketone (MIBK) MSDS]]'''<br />
*'''[[media:PropanolMSDS.pdf|Propanol MSDS]]'''<br />
*'''[[media:TolueneMSDS.pdf|Toluene MSDS]]'''<br />
*'''[[media:XylenesMSDS.pdf|Xylenes MSDS]]'''<br />
|-<br />
|}<br />
<br />
=Other Chemicals=<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*'''[[media:AmmoniumSulfideMSDS.pdf|Ammonium Sulfide MSDS]]'''<br />
*'''[[media:Crystalbond509MSDS.pdf|Crystalbond 509 MSDS]]'''<br />
*'''[[media:GenSolve500MSDS.pdf|GenSolve 500 MSDS]]'''<br />
*'''[[media:GenTak230MSDS.pdf|GenTak 230 MSDS]]'''<br />
*'''[[media:GoldEtchantTypeTFAMSDS.pdf|Gold Etchant, Type TFA MSDS]]'''<br />
*'''[[media:HydrogenPeroxideMSDS.pdf|Hydrogen Peroxide (30% dilute) MSDS]]'''<br />
| width="300" |<br />
*'''[[media:NickelEtchantTFBMSDS.pdf|Nickel Etchant Type TFB MSDS]]'''<br />
*'''[[media:PC3-1500SpinOnGlassMSDS.pdf|PC3-1500 Spin-On-Glass MSDS]]'''<br />
*'''[[media:SaturatedBromineWaterMSDS.pdf|Saturated Bromine Water MSDS]]'''<br />
*'''[[media:SilverEtchantTypeTFS.pdf|Silver Etchant Type TFS MSDS]]'''<br />
*'''[[media:Technic25ESGoldPlatingSolution.pdf|Technic 25 ES Gold Plating Solution MSDS]]'''<br />
*'''[[media:KJL 705 pump oil.pdf|Kurt J Lesker 705 pump oil MSDS]]'''<br />
|-<br />
|}<br />
<br />
==UNSORTED==<br />
{|<br />
|- valign="top"<br />
| width="300" |<br />
*[[media:DN-201GP-Photoresist-MSDS.pdf|DN-201 GP Photoresist MSDS]]<br />
*[[media:(4-Methylthiophenyl)methyl phenyl sulfonium triflate MSDS.pdf|(4-Methylthiophenyl)methyl phenyl sulfonium triflate MSDS]]<br />
*[[media:(4-Phenylthiophenyl)diphenylsulfonium triflate MSDS.pdf|(4-Phenylthiophenyl)diphenylsulfonium triflate MSDS]]<br />
*[[media:2-Bromo-3-hexylthiophene MSDS.pdf|2-Bromo-3-hexylthiophene MSDS]]<br />
*[[media:2-Hydroxy-2-Methylpropiophenone MSDS.pdf|2-Hydroxy-2-Methylpropiophenone MSDS]]<br />
*[[media:3-Aminopropyl)triethoxysilane MSDS.pdf|3-Aminopropyl)triethoxysilane MSDS]]<br />
*[[media:18-Crown-6 MSDS.pdf|18-Crown-6 MSDS]]<br />
*[[media:1165 Stripper MSDS.pdf|1165 Stripper MSDS]]<br />
*[[media:Accuglass 103 Solvent MSDS.pdf|Accuglass 103 Solvent MSDS]]<br />
*[[media:Accuglass T-12B MSDS.pdf|Accuglass T-12B MSDS]]<br />
*[[media:ACCUGLASS T-12B Spin-On-Glass MSDS.pdf|ACCUGLASS T-12B Spin-On-Glass MSDS]]<br />
*[[media:ACEM 365iS MSDS.pdf|ACEM 365iS MSDS]]<br />
*[[media:Additive S-1 MSDS.pdf|Additive S-1 MSDS]]<br />
*[[media:Adhesion Promoter AP3000 MSDS.pdf|Adhesion Promoter AP3000 MSDS]]<br />
*[[media:Adhesion Promoter VM-651 MSDS.pdf|Adhesion Promoter VM-651 MSDS]]<br />
*[[media:Alkyl Quaternary Ammonium Bentonite MSDS.pdf|Alkyl Quaternary Ammonium Bentonite MSDS]]<br />
*[[media:Alpha Alpha Alpha Trifluorotoluene MSDS.pdf|Alpha Alpha Alpha Trifluorotoluene MSDS]]<br />
*[[media:Aluminum Fluoride MSDS.pdf|Aluminum Fluoride MSDS]]<br />
*[[media:Aluminum Oxide MSDS.pdf|Aluminum Oxide MSDS]]<br />
*[[media:Ammonia MSDS.pdf|Ammonia MSDS]]<br />
*[[media:Ammonium Chloride MSDS.pdf|Ammonium Chloride MSDS]]<br />
*[[media:Ammonium Tartrate MSDS.pdf|Ammonium Tartrate MSDS]]<br />
*[[media:Antimony Powder MSDS.pdf|Antimony Powder MSDS]]<br />
*[[media:AP 3000 Adhesion Promoter MSDS.pdf|AP 3000 Adhesion Promoter MSDS]]<br />
*[[media:AquaBond 55 MSDS.pdf|AquaBond 55 MSDS]]<br />
*[[media:AquaBond 65 MSDS.pdf|AquaBond 65 MSDS]]<br />
*[[media:AquaBond 85 MSDS.pdf|AquaBond 85 MSDS]]<br />
*[[media:AquaClean 900 MSDS.pdf|AquaClean 900 MSDS]]<br />
*[[media:ARC i-CON-7 Anti Reflective MSDS.pdf|ARC i-CON-7 Anti Reflective MSDS]]<br />
*[[media:ARC i-CON-16 MSDS.pdf|ARC i-CON-16 MSDS]]<br />
*[[media:Arcosolv PM Solvent MSDS.pdf|Arcosolv PM Solvent MSDS]]<br />
*[[media:Argon MSDS.pdf|Argon MSDS]]<br />
*[[media:Axarel 2200 Defluxed Degreaser MSDS.pdf|Axarel 2200 Defluxed Degreaser MSDS]]{|<br />
*[[media:AZ 300 MIF Developer MSDS.pdf|AZ 300 MIF Developer MSDS]]<br />
*[[media:AZ 300T Stripper MSDS.pdf|AZ 300T Stripper MSDS]]<br />
*[[media:AZ 400K Developer MSDS.pdf|AZ 400K Developer MSDS]]<br />
*[[media:AZ 726 MIF Developer MSDS.pdf|AZ 726 MIF Developer MSDS]]<br />
*[[media:AZ 726 MIF Developer MSDS2.pdf|AZ 726 MIF Developer (2) MSDS]]<br />
*[[media:AZ 1518 Photoresist MSDS.pdf|AZ 1518 Photoresist MSDS]]<br />
*[[media:AZ Aquatar VIII-A 45 MSDS.pdf|AZ Aquatar VIII-A 45 MSDS]]<br />
*[[media:AZ Aquatar VIII-A 45 MSDS(2).pdf|AZ Aquatar VIII-A 45 MSDS (2)]]<br />
*[[media:AZ Developer MSDS.pdf|AZ Developer MSDS]]<br />
*[[media:AZ EBR Edge Bead Remover MSDS.pdf|AZ EBR Edge Bead Remover MSDS]]<br />
*[[media:AZ LExp.KDB087F 42 MSDS.pdf|AZ LExp.KDB087F 42 MSDS]]<br />
*[[media:AZ LOL 2000 Photoresist MSDS.pdf|AZ LOL 2000 Photoresist MSDS]]<br />
*[[media:AZ nLOF 2020 Photoresist MSDS.pdf|AZ nLOF 2020 Photoresist MSDS]]<br />
*[[media:AZ nLOF 2070 Photoresist MSDS.pdf|AZ nLOF 2070 Photoresist MSDS]]<br />
*[[media:AZ nLOF 5510 Photoresist MSDS.pdf|AZ nLOF 5510 Photoresist MSDS]]<br />
*[[media:AZ P4110 Photoresist MSDS.pdf|AZ P4110 Photoresist MSDS]]<br />
*[[media:AZ P4210 Photoresist MSDS.pdf|AZ P4210 Photoresist MSDS]]<br />
*[[media:AZ P4330 RS Photoresist MSDS.pdf|AZ P4330 RS Photoresist MSDS]]<br />
*[[media:AZ P4620 Photoresist MSDS.pdf|AZ P4620 Photoresist MSDS]]<br />
*[[media:AZ P5214 EIR Photoresist MSDS.pdf|AZ P5214 EIR Photoresist MSDS]]<br />
*[[media:AZ R200 Coating MSDS.pdf|AZ R200 Coating MSDS]]<br />
*[[media:AZ SH-114A MSDS.pdf|AZ SH-114A MSDS]]<br />
*[[media:Benzoyl Peroxide MSDS.pdf|Benzoyl Peroxide MSDS]]<br />
*[[media:Bipyridyl Dichlororuthenium II Hexahydrate MDSD.pdf|Bipyridyl Dichlororuthenium II Hexahydrate MDSD]]<br />
*[[media:BIS Cyclopentadienyl Iron (Ferrocene) MSDS.pdf|BIS Cyclopentadienyl Iron (Ferrocene) MSDS]]<br />
*[[media:BIS Cyclopentadienyl Magnesium MSDS.pdf|BIS Cyclopentadienyl Magnesium MSDS]]<br />
*[[media:Bismuth.pdf|Bismuth]]<br />
*[[media:Brewer Science WaferBOND HT-10 MSDS.pdf|Brewer Science WaferBOND HT-10 MSDS]]<br />
*[[media:Brewer Science WaferBOND Remover MSDS.pdf|Brewer Science WaferBOND Remover MSDS]]<br />
*[[media:Bromine MSDS.pdf|Bromine MSDS]]<br />
*[[media:Buffered Oxide Etch JT Baker MSDS.pdf|Buffered Oxide Etch JT Baker MSDS]]<br />
*[[media:CAaD Poymers MSDS.pdf|CAaD Poymers MSDS]]<br />
*[[media:Calcium Fluoride MSDS.pdf|Calcium Fluoride MSDS]]<br />
*[[media:Carbon Black MSDS.pdf|Carbon Black MSDS]]<br />
*[[media:Carbon Tetrachloride MSDS.pdf|Carbon Tetrachloride MSDS]]<br />
*[[media:CEM 365 IS MSDS.pdf|CEM 365 IS MSDS]]<br />
*[[media:Cesium Fluoride MSDS.pdf|Cesium Fluoride MSDS]]<br />
*[[media:Cesium Hydroxide Monohydrate MSDS.pdf|Cesium Hydroxide Monohydrate MSDS]]<br />
*[[media:CF19-2186 Part A MSDS.PDF|CF19-2186 Part A MSDS]]<br />
*[[media:CF19-2186 Part B MSDS.PDF|CF19-2186 Part B MSDS]]<br />
*[[media:Chlorine MSDS.pdf|Chlorine MSDS]]<br />
*[[media:Chloroform MSDS.pdf|Chloroform MSDS]]<br />
*[[media:Chromium Etchant 1020AC MSDS.pdf|Chromium Etchant 1020AC MSDS]]<br />
*[[media:CLEVIOS P MSDS.pdf|CLEVIOS P MSDS]]<br />
*[[media:Clorobenzene MSDS.pdf|Clorobenzene MSDS]]<br />
*[[media:Collodion MSDS.pdf|Collodion MSDS]]<br />
*[[media:Copolmyer MMA(8.5)MAA(EL9) MSDS.pdf|Copolmyer MMA(8.5)MAA(EL9) MSDS]]<br />
*[[media:Copper (II) Sulfate Pentahydrate MSDS.pdf|Copper (II) Sulfate Pentahydrate MSDS]]<br />
*[[media:Cyclohexane MSDS.pdf|Cyclohexane MSDS]]<br />
*[[media:Cyclopentanone MSDS.pdf|Cyclopentanone MSDS]]<br />
*[[media:Cyclotene 3022 MSDS.pdf|Cyclotene 3022 MSDS]]<br />
*[[media:Cyclotene 4000 Resin MSDS.pdf|Cyclotene 4000 Resin MSDS]]<br />
*[[media:Cyclotene 4022 MSDS.pdf|Cyclotene 4022 MSDS]]<br />
*[[media:Cyclotene 4024-40 BCB MSDS.pdf|Cyclotene 4024-40 BCB MSDS]]<br />
*[[media:Cyclotene 4026 Resin MSDS.pdf|Cyclotene 4026 Resin MSDS]]<br />
| width="300" |<br />
*[[media:DE-6018 Polymide Developer MSDS.pdf|DE-6018 Polymide Developer MSDS]]<br />
*[[media:DE9040 Developer MSDS.pdf|DE9040 Developer MSDS]]<br />
*[[media:Developer DS3000 MSDS.pdf|Developer DS3000 MSDS]]<br />
*[[media:Dibenzo-18-crown-6 MSDS.pdf|Dibenzo-18-crown-6 MSDS]]<br />
*[[media:Diethoxyethane MSDS.pdf|Diethoxyethane MSDS]]<br />
*[[media:Diethylzinc MSDS.pdf|Diethylzinc MSDS]]<br />
*[[media:Dimethyldydrazine MSDS.pdf|Dimethyldydrazine MSDS]]<br />
*[[media:Dimethylsila-17-Crown-6 MSDS.pdf|Dimethylsila-17-Crown-6 MSDS]]<br />
*[[media:Dimethylsulfoxide MSDS.pdf|Dimethylsulfoxide MSDS]]<br />
*[[media:Disilane MSDS.pdf|Disilane MSDS]]<br />
*[[media:Dodecanethiol MSDS.pdf|Dodecanethiol MSDS]]<br />
*[[media:Dow Corning WL-5150 MSDS.pdf|Dow Corning WL-5150 MSDS]]<br />
*[[media:DS 2100 BCB Developer MSDS.pdf|DS 2100 BCB Developer MSDS]]<br />
*[[media:Dynasolve 165 MSDS.pdf|Dynasolve 165 MSDS]]<br />
*[[media:Dynasolve 185 MSDS.pdf|Dynasolve 185 MSDS]]<br />
*[[media:EC11 Solvent MSDS.pdf|EC11 Solvent MSDS]]<br />
*[[media:ECF-63 Gold Plating Solution MSDS.pdf|ECF-63 Gold Plating Solution MSDS]]<br />
*[[media:EKC 1020 Photoresist MSDS.pdf|EKC 1020 Photoresist MSDS]]<br />
*[[media:EKC 265 Stripper MSDS.pdf|EKC 265 Stripper MSDS]]<br />
*[[media:Epo Tek H20E, Part A & B.pdf|Epo Tek H20E]]<br />
*[[media:Er(TMOD)3 MSDS.pdf|Er(TMOD)3 MSDS]]<br />
*[[media:Ethylenediamine MSDS.pdf|Ethylenediamine MSDS]]<br />
*[[media:FC-4430 MSDS.pdf|FC-4430 MSDS]]<br />
*[[media:FC-4432 MSDS.pdf|FC-4432 MSDS]]<br />
*[[media:FOX-16 Flowable Oxide MSDS.pdf|FOX-16 Flowable Oxide MSDS]]<br />
*[[media:FTO 100-FBA5 Etchant MSDS.pdf|FTO 100-FBA5 Etchant MSDS]]<br />
*[[media:Fe-Pt Bimetallic Nanoparticles in Hexane MSDS.pdf|Fe-Pt Bimetallic Nanoparticles in Hexane MSDS]]<br />
*[[media:Ferric Chloride Hexahydrate MSDS.pdf|Ferric Chloride Hexahydrate MSDS]]<br />
*[[media:Ferric Nitrate Nonahydrate MSDS.pdf|Ferric Nitrate Nonahydrate MSDS]]<br />
*[[media:Ferrous Sulfate MSDS.pdf|Ferrous Sulfate MSDS]]<br />
*[[media:Filmtronics 700B Spin On Glass MSDS.pdf|Filmtronics 700B Spin On Glass MSDS]]<br />
*[[media:Fomblin Y-LVAC 25-6 MSDS.pdf|Fomblin Y-LVAC 25/6 MSDS]]<br />
*[[media:Freon 13B1 Refrigerant MSDS.pdf|Freon 13B1 Refrigerant MSDS]]<br />
*[[media:Gadolinium Oxide MSDS.pdf|Gadolinium Oxide MSDS]]<br />
*[[media:Gallium Arsenide MSDS.pdf|Gallium Arsenide MSDS]]<br />
*[[media:Germanium MSDS.pdf|Germanium MSDS]]<br />
*[[media:Glycerol MSDS.pdf|Glycerol MSDS]]<br />
*[[media:Gold Chloride Hydrate MSDS.pdf|Gold Chloride Hydrate MSDS]]<br />
*[[media:Gold Etchant GE-8148-GE-8110 MSDS.pdf|Gold Etchant GE-8148/GE-8110 MSDS]]<br />
*[[media:HD-8820 MSDS.pdf|HD-8820 MSDS]]<br />
*[[media:HD-8820 Polyimide MSDS.pdf|HD-8820 Polyimide MSDS]]<br />
*[[media:HMDS MSDS.pdf|HMDS MSDS]]<br />
*[[media:Hafnium MSDS.pdf|Hafnium MSDS]]<br />
*[[media:Hafnium Oxide MSDS.pdf|Hafnium Oxide MSDS]]<br />
*[[media:Hexadecane MSDS.pdf|Hexadecane MSDS]]<br />
*[[media:Hexamethyldisilazane MSDS.pdf|Hexamethyldisilazane MSDS]]<br />
*[[media:Hexanediol Diacrylate MSDS.pdf|Hexanediol Diacrylate MSDS]]<br />
*[[media:Hydrogen Iodide MSDS.pdf|Hydrogen Iodide MSDS]]<br />
*[[media:Hydrogen MSDS.pdf|Hydrogen MSDS]]<br />
*[[media:IC1-200 Spin On Glass MSDS.pdf|IC1-200 Spin On Glass MSDS]]<br />
*[[media:Imidazole MSDS.pdf|Imidazole MSDS]]<br />
*[[media:Indium MSDS.pdf|Indium MSDS]]<br />
*[[media:Indium Phosphide MSDS.pdf|Indium Phosphide MSDS]]<br />
*[[media:Iodine MSDS.pdf|Iodine MSDS]]<br />
*[[media:Iodine-Bromide Solution MSDS.pdf|Iodine-Bromide Solution MSDS]]<br />
*[[media:Iridium (IV) Chloride MSDS.pdf|Iridium (IV) Chloride MSDS]]<br />
*[[media:Isopropyl Alcohol MSDS.pdf|Isopropyl Alcohol MSDS]]<br />
*[[media:JSR NFC FZX F112 Photoresist Overcoat MSDS.pdf|JSR NFC FZX F112 Photoresist Overcoat MSDS]]<br />
*[[media:JSR NSD 2533Y Photoresist MSDS.pdf|JSR NSD 2533Y Photoresist MSDS]]<br />
*[[media:LDD 26W Developer MSDS.pdf|LDD 26W Developer MSDS]]<br />
*[[media:LOL 2000 Lift Off Layer MSDS.pdf|LOL 2000 Lift Off Layer MSDS]]<br />
*[[media:LOR A Photoresist MSDS.pdf|LOR A Photoresist MSDS]]<br />
*[[media:LOR B Photoresist MSDS.pdf|LOR B Photoresist MSDS]]<br />
*[[media:Lanthanum Fluoride MSDS.pdf|Lanthanum Fluoride MSDS]]<br />
*[[media:Lead Metal MSDS.pdf|Lead Metal MSDS]]<br />
*[[media:MF 24A Developer MSDS.pdf|MF 24A Developer MSDS]]<br />
*[[media:MF-701 Developer MSDS.pdf|MF-701 Developer MSDS]]<br />
*[[media:MICRAL 9400 MSDS.pdf|MICRAL 9400 MSDS]]<br />
*[[media:MMA(8.5)MAA Copolymer Series Resists MSDS.pdf|MMA(8.5)MAA Copolymer Series Resists MSDS]]<br />
*[[media:MR-i 7000 series MSDS.pdf|MR-i 7000 series MSDS]]<br />
*[[media:Magnesium Fluoride MSDS.pdf|Magnesium Fluoride MSDS]]<br />
*[[media:Manganese Pieces MSDS.pdf|Manganese Pieces MSDS]]<br />
*[[media:Manganese Sulfate MSDS.pdf|Manganese Sulfate MSDS]]<br />
*[[media:Mesitylene MSDS.pdf|Mesitylene MSDS]]<br />
*[[media:Methacryloxypropyltrimethoxysilane-3 MSDS.pdf|Methacryloxypropyltrimethoxysilane-3 MSDS]]<br />
*[[media:Methane MSDS.pdf|Methane MSDS]]<br />
*[[media:Methylstyrene MSDS.pdf|Methylstyrene MSDS]]<br />
*[[media:Methyltrimethoxysilane MSDS.pdf|Methyltrimethoxysilane MSDS]]<br />
*[[media:MicroChem 101 Developer MSDS.pdf|MicroChem 101 Developer MSDS]]<br />
*[[media:Microposit 351 Developer MSDS.pdf|Microposit 351 Developer MSDS]]<br />
*[[media:Microposit S1822 Photoresist MSDS.pdf|Microposit S1822 Photoresist MSDS]]<br />
*[[media:Microprime HP Primer MSDS.pdf|Microprime HP Primer MSDS]]<br />
*[[media:Mung MSDS.pdf|Mung MSDS]]<br />
| width="300" |<br />
*[[media:N Methyl 2 Pyrrolidone MSDS.pdf|N Methyl 2 Pyrrolidone MSDS]]<br />
*[[media:NANO 495PMMA MSDS.pdf|NANO 495PMMA MSDS]]<br />
*[[media:NANO LOR B Series Resist MSDS.pdf|NANO LOR B Series Resist MSDS]]<br />
*[[media:NANO MMA(17.5)MAA EL 10 MSDS.pdf|NANO MMA(17.5)MAA EL 10 MSDS]]<br />
*[[media:NEB-31 Photoresist MSDS.pdf|NEB-31 Photoresist MSDS]]<br />
*[[media:NOE Etch I MSDS.pdf|NOE Etch I MSDS]]<br />
*[[media:NR5-8000 Photoresist MSDS.pdf|NR5-8000 Photoresist MSDS]]<br />
*[[media:NR7-1500PY Photoresist MSDS.pdf|NR7-1500PY Photoresist MSDS]]<br />
*[[media:NR71-6000PY Photoresist MSDS.pdf|NR71-6000PY Photoresist MSDS]]<br />
*[[media:NR9-8000 Photoresist MSDS.pdf|NR9-8000 Photoresist MSDS]]<br />
*[[media:NXR-1010 Imprint Resist MSDS.pdf|NXR-1010 Imprint Resist MSDS]]<br />
*[[media:NXR-1020 Imprint Resist MSDS.pdf|NXR-1020 Imprint Resist MSDS]]<br />
*[[media:NXR-2010 Imprint Resist MSDS.pdf|NXR-2010 Imprint Resist MSDS]]<br />
*[[media:NXR-3020 Imprint Resist MSDS.pdf|NXR-3020 Imprint Resist MSDS]]<br />
*[[media:NXR-3022 Imprint Resist MSDS.pdf|NXR-3022 Imprint Resist MSDS]]<br />
*[[media:Nano MMA Photoresist MSDS.pdf|Nano MMA Photoresist MSDS]]<br />
*[[media:Nano PMGI SF-series Photoresist MSDS.pdf|Nano PMGI SF-series Photoresist MSDS]]<br />
*[[media:NanoRemover PG Photoresist Remover MSDS.pdf|NanoRemover PG Photoresist Remover MSDS]]<br />
*[[media:NanoStrip MSDS.pdf|NanoStrip MSDS]]<br />
*[[media:Nanochem OMA MSDS.pdf|Nanochem OMA MSDS]]<br />
*[[media:Negative Resist NR9-8000 MSDS.pdf|Negative Resist NR9-8000 MSDS]]<br />
*[[media:Nickel Ammonium Sulfate MSDS.pdf|Nickel Ammonium Sulfate MSDS]]<br />
*[[media:Nickel Chloride MSDS.pdf|Nickel Chloride MSDS]]<br />
*[[media:Nickel MSDS.pdf|Nickel MSDS]]<br />
*[[media:Nickel Sulfamate MSDS.pdf|Nickel Sulfamate MSDS]]<br />
*[[media:Nickel Sulfamate Plating Solution MSDS.pdf|Nickel Sulfamate Plating Solution MSDS]]<br />
*[[media:Nickel Sulfamate Semi-Bright Nickel "S" MSDS.pdf|Nickel Sulfamate Semi-Bright Nickel "S" MSDS]]<br />
*[[media:Nickel Sulfate Crystal MSDS.pdf|Nickel Sulfate Crystal MSDS]]<br />
*[[media:Nickelous Sulfate MSDS.pdf|Nickelous Sulfate MSDS]]<br />
*[[media:Nickle (II) Carbonate MSDS.pdf|Nickle (II) Carbonate MSDS]]<br />
*[[media:Nitrogen MSDS.pdf|Nitrogen MSDS]]<br />
*[https://drive.google.com/embeddedfolderview?id=1mscwkrCLWJ7Vorkk-E8HokFy1VK_ZHGw#list MSDS Drive #3 (DJ)]<br />
|-<br />
|}<br />
<br />
{{MSDS}}</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Stepper_3_(ASML_DUV)&diff=159155Stepper 3 (ASML DUV)2021-09-04T19:48:49Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=ASML.jpg<br />
|type = Lithography<br />
|super= Demis D. John<br />
|location=Bay 7<br />
|description = Deep-UV Stepper Photolithography<br />
|model = PAS 5500/300<br />
|manufacturer = [http://www.asml.com ASML]<br />
|ToolType = Lithography<br />
|recipe = Lithography<br />
|materials =<br />
|toolid=51 <br />
}} <br />
<br />
==About==<br />
<br />
The ASML 5500 stepper is a 248nm DUV stepper for imaging dense features down to below 200nm and isolated line structures down to below 150nm. Overlay accuracy is better than 30nm. <br />
<br />
The system is configured for 4” wafers and, with staff support, mounted pieces down to 14mm in size can be exposed using a 4” wafer as a carrier. The system is designed for high throughput, so shooting multiple 4" wafers is extremely fast. Additionally, exposure jobs are highly programmable, allowing for very flexible exposures of multiple aligned patterns from multiple masks in a single session, allowing for process optimization of large vs. small features in a single lithography.<br />
<br />
The full field useable exposure area is limited to the intersection of a 31mm diameter circle and a rectangle of dimensions 22mm x 27mm. See the [[ASML 5500 Mask Making Guidelines|Mask Making Guidelines page]] for more info on exposure field sizes and how to order your mask plates. <br />
<br />
Resists Used (see [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lithography_Recipes#Photolithography_Recipes PhotoLith. Recipes] for full process info):<br />
<br />
*UV210-0.3 - Positive: 300nm nominal thickness<br />
*UV6-0.8 - Positive: 800nm nominal thickness<br />
*UV26-2.5 - Positive: 2.5um nominal thickness<br />
*UVN2300-0.5 - Negative: 500nm nominal thickness<br />
<br />
*DUV42P-6/DS-K101 - Bottom Anti-Reflective Coatings “BARC”<br />
*PMGI/LOL1000/LOL2000 - Underlayers<br />
<br />
AZ300MIF Developer for all processes<br />
<br />
==Process Information==<br />
<br />
*[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lithography_Recipes#Photolithography_Recipes '''Process Recipes Page'''] '''> "Stepper 3"''' - ''Established recipes and corresponding linewidths, photoresists etc.''<br />
*Sample size: 100 mm wafers with SEMI std. major flat<br />
**''Piece-parts process is possible but difficult - contact staff for info''<br />
*Alignment Accuracy: < 50 nm<br />
*Minimum Feature Size: ≤150 nm isolated lines, ≤200 nm dense patterns<br />
**''To achieve ≤200nm features with high uniformity, we recommend wafers with total thickness variation (TTV) ≤5µm, and designing your CAD with a smaller Image Size for the high-res. feature''.<br />
*Maximum Wafer Bow: approx. 100 µm. (4-inch diam.) <br />
**''Near this value, and the job may fail or lose the wafer inside the machine due to wafer vacuum error.''<br />
<br />
==Operating Procedures==<br />
<br />
*[[ASML Stepper 3 Standard Operating Procedure|Standard Operating Procedures]] - ''Exposing wafers, loading reticles, focus/exposure matrix''<br />
**[[ASML Stepper 3 Standard Operating Procedure#Running a focus and.2For exposure matrix|Focus-Exposure Matrix]] - ''used for'' ''calibrating sensitive exposure parameters''<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/f/f7/ASML_Job_Set-Up_Guide_v2.pdf Job Programming - Full]<br />
*[//wiki.nanotech.ucsb.edu/wiki/images/c/cd/ASML_Job_Set-Up_Guide_simple_v1.pdf Job Programming- Simplified -Full Wafers]<br />
*[[ASML Stepper 3 - Substrates smaller than 100mm/4-inch|Working with Substrates Smaller than 100mm/4-inch]]<br />
<br />
===Troubleshooting and Recovery===<br />
<br />
*[[ASML Stepper 3 Error Recovery, Troubleshooting and Calibration|Error Recovery, Troubleshooting and Calibration]]<br />
**''Common errors/System Warnings, Wafer Handler Reset, System Calibration Verification''<br />
<br />
*[[ASML 5500: Recovering from an Error|ASML 5500: Recovering from an Error/Wafer Retrieval]]<br />
**''How to abort the job and recover your wafer.''<br />
<br />
=== Online Video Trainings ===<br />
''These video trainings have bookmarks to skip to specific sections - use them as reference.''<br />
<br />
''Remember, you are NOT authorized to use the system until a supervisor grants you access.''<br />
* [https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=798e5110-0823-4abd-9458-ac5c01855a99 Part 1: System Info & Running a Job]<br />
* [https://gauchocast.hosted.panopto.com/Panopto/Pages/Viewer.aspx?id=dc501ba5-1f20-401c-8a96-ac2500f7e81e Part 2: Job Programming]<br />
<br />
===Software Options===<br />
<br />
*[[ASML Stepper 3 - Shifted Measurement Scans (SMS)|Shifted Measurement Scans]] - better tilt/level measurement locations for edge-die. Simply enable the Checkbox in your job file.<br />
*[[ASML Stepper 3 - Compound Image Design (CIDS)|Compound Image Design]] - flexible Image Distribution: grouping of Images with shifts, duplicate instances of Images in each Cell.<br />
*[[ASML Stepper 3 - Job Creator|Job Creator]] - create binary ASML job files from ASCII text files. Python scripting capabilities using this option are currently implemented, see below.<br />
<br />
==Design & Fabrication Tools==<br />
<br />
*[[ASML 5500 Mask Making Guidelines|ASML 5500 Mask Making Guidelines]] - All the info you need to design and order a reticle for this system.<br />
**[[ASML 5500 Mask Making Guidelines#Templates|Templates and CAD help]] - on the above page, CAD files and spreadsheets to help you design/program.<br />
*[https://github.com/demisjohn/ASML_JobCreator ASML Job Creator] - Python scripts for generating ASML Job Files.<br />
**''This new scriptable job programming is in the user-testing phase - contact [[Demis D. John|the supervisor]] if interested.''<br />
*[[ASML Stepper 3 - UCSB Test Reticles|UCSB Test Reticles]] - Alignment Markers, Resolution Testing etc.<br />
<br />
== Recipes ==<br />
See the '''[[Stepper Recipes#Stepper 3 .28ASML DUV.29|Recipes > Lithography > Stepper Recipes > Stepper #3]]''' page for starting processes for various photoresists, including Dose/Focus values.<br />
<br />
Litho. recipes for all our photolith. tools can be found on the [[Lithography Recipes#Photolithography Recipes|Photolithography Recipes]] page.<br />
<br />
==Service Provider==<br />
<br />
*[http://www.asml.com ASML] - ASML performs quarterly periodic maintenance and provides on-demand support.</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Dry_Etching_Recipes&diff=159154Dry Etching Recipes2021-09-04T19:48:48Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{Recipe Table Explanation}}<br />
<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center; font-size: 95%" border="1"<br />
|- bgcolor="#d0e7ff"<br />
! colspan="18" width="725" height="45" |<div style="font-size: 150%;">Dry Etching Recipes</div><br />
|- bgcolor="#d0e7ff"<br />
|<!-- INTENTIONALLY LEFT BLANK --><br />
! colspan="3" |'''[[RIE Etching Recipes|RIE Etching]]'''<br />
! colspan="6" |'''[[ICP Etching Recipes|ICP Etching]]'''<br />
! colspan="5" bgcolor="#d0e7ff" align="center" |'''[[Oxygen Plasma System Recipes|Oxygen Plasma Systems]]'''<br />
! colspan="3" bgcolor="#d0e7ff" align="center" |'''[[Other Dry Etching Recipes|Other Dry Etchers]]'''<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_2_.28MRC.29|RIE 2<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_3_.28MRC.29|RIE 3<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_5_.28PlasmaTherm.29|RIE 5<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#DSEIII_.28PlasmaTherm.2FDeep_Silicon_Etcher.29|DSEIII<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|Fluorine ICP <span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_1_.28Panasonic_E626I.29|ICP Etch 1<br><span style="font-size: 88%;">(Panasonic E626I)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29|ICP Etch 2<br><span style="font-size: 88%;">(Panasonic E640)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP Etching Recipes#Oxford ICP Etcher .28PlasmaPro 100 Cobra.29|Oxford ICP <span style="font-size: 88%;">(PlasmaPro 100)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP-Etch_.28Unaxis_VLR.29|ICP-Etch<br><span style="font-size: 88%;">(Unaxis VLR)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Ashers_.28Technics_PEII.29|Ashers<br><span style="font-size: 88%;">(Technics PEII)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Clean_.28Gasonics_2000.29|Plasma Clean<br><span style="font-size: 88%;">(Gasonics 2000)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen Plasma System Recipes#Plasma Clean .28YES EcoClean.29|Plasma Clean <span style="font-size: 88%;">(YES EcoClean)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#UV_Ozone_Reactor|UV Ozone Reactor]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Activation_.28EVG_810.29|Plasma Activation<br><span style="font-size: 88%;">(EVG 810)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#XeF2_Etch_.28Xetch.29|XeF2 Etch<br><span style="font-size: 88%;">(Xetch)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29|Vapor HF Etch<br><span style="font-size: 88%;">(uETCH)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29|CAIBE<br><span style="font-size: 88%;">(Oxford)</span>]]<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ag<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<br />
|<br />
|<br />
|[[RIE Etching Recipes|A]]<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Al Etch (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|Al Etch (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Au<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Cr<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Cr Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Cu<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ge<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Mo<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ni<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Pt<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ru<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|{{Rl|ICP Etching Recipes|Ru (Ruthenium) Etch (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Si<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|DSEIII_(PlasmaTherm/Deep_Silicon_Etcher)}}<br />
|{{Rl|ICP Etching Recipes|Si Etching}}<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (XeF2 Etcher)}}<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Ta<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ti<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Ti Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=ICP_Etching_Recipes#Al2O3_Etching_.28Panasonic_2.29 R]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3 (Sapphire)</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|Sapphire Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |AlGaAs<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|AlGaAs\GaAs Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|AlGaAs Etch (Panasonic 1)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaAs-AlGaAs Etch (Unaxis VLR)|AlGaAs Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |AlGaN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |AlN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |CdZnTe<br />
|{{rl|RIE Etching Recipes|CdZnTe Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |GaAs<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|AlGaAs\GaAs Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaAs-AlGaAs_Etch_.28Panasonic_1.29}}<br />
|{{rl|ICP Etching Recipes|GaAs Etch (Panasonic 2)}}<br />
|A<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaAs-AlGaAs Etch (Unaxis VLR)|GaAs Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |GaN<br />
|<br />
|<br />
|{{rl|RIE Etching Recipes|GaN Etching (RIE 5)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|GaN Etch (Panasonic 1)}}<br />
|<br />
|A<br />
|{{rl|ICP Etching Recipes|GaN Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |GaSb<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|GaSb Etch Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |HfO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |InGaAlAs<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |InGaAsP<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|R<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |InP<br />
|{{rl|RIE Etching Recipes|RIE 2 (MRC)|InP-InGaAsP-InGaAlAs Etching (RIE 2)|InP Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|A<br />
|R<br />
|{{rl|ICP Etching Recipes|ICP-Etch (Unaxis VLR)|InP-InGaAs-InAlAs Etch (Unaxis VLR)|InP Etch (Unaxis VLR)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (CAIBE (Oxford Ion Mill))}}<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ITO<br />
|{{rl|RIE Etching Recipes|ITO Etch (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
!Photoresist<br />
& ARC<br />
|<br />
|A<br />
|[https://wiki.nanotech.ucsb.edu/wiki/RIE_Etching_Recipes#Photoresist_and_ARC_.28RIE_5.29 R]<br />
|<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_.26_ARC_.28Fluorine_ICP_Etcher.29 R]<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_and_ARC_Etching_.28Panasonic_1.29 R]<br />
|[https://wiki.nanotech.ucsb.edu/wiki/ICP_Etching_Recipes#Photoresist_and_ARC_etching_.28Panasonic_2.29 R]<br />
|<br />
|<br />
|A<br />
|A<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |SiC<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiC Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |SiN<br />
|<br />
|{{rl|RIE Etching Recipes|RIE 3 (MRC)|SiN<sub>x</sub> Etching (RIE 3)}}<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiNx Etching (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|SiNx Etching (Panasonic 2)}}<br />
|<br />
|<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>2</sub><br />
|<br />
|{{rl|RIE Etching Recipes|RIE 3 (MRC)|SiO<sub>2</sub> Etching (RIE 3)}}<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Fluorine ICP Etcher)}}<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Panasonic 1)}}<br />
|{{rl|ICP Etching Recipes|SiO2 Etching (Panasonic 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|Other Dry Etching Recipes|Other Dry Etch (Vapor HF Etcher)}}<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |SiOxNy<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |Ta<sub>2</sub>O<sub>5</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|[https://www.osapublishing.org/optica/abstract.cfm?uri=optica-4-5-532 A]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |TiN<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |TiO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |W-TiW<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|ICP Etching Recipes|W-TiW Etch (Panasonic 1)}}<br />
|A<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnS<br />
|{{rl|RIE Etching Recipes|ZnS Etching (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |ZnSe<br />
|{{rl|RIE Etching Recipes|ZnS Etching (RIE 2)}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#ffffff"<br />
! bgcolor="#d0e7ff" align="center" |ZrO<sub>2</sub><br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|A<br />
|- bgcolor="#eeffff"<br />
! bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_2_.28MRC.29|RIE 2<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_3_.28MRC.29|RIE 3<br> <span style="font-size: 88%;">(MRC)</span>]]<br />
| bgcolor="#daf1ff" |[[RIE_Etching_Recipes#RIE_5_.28PlasmaTherm.29|RIE 5<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#DSEIII_.28PlasmaTherm.2FDeep_Silicon_Etcher.29|DSEIII<br><span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[Fluorine ICP Etcher (PlasmaTherm/SLR Fluorine ICP)|Fluorine ICP <span style="font-size: 88%;">(PlasmaTherm)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_1_.28Panasonic_E626I.29|ICP Etch 1<br><span style="font-size: 88%;">(Panasonic E626I)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP_Etch_2_.28Panasonic_E640.29|ICP Etch 2<br><span style="font-size: 88%;">(Panasonic E640)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP Etching Recipes#Oxford ICP Etcher .28PlasmaPro 100 Cobra.29|Oxford ICP <span style="font-size: 88%;">(PlasmaPro 100)</span>]]<br />
| bgcolor="#daf1ff" |[[ICP_Etching_Recipes#ICP-Etch_.28Unaxis_VLR.29|ICP-Etch<br><span style="font-size: 88%;">(Unaxis VLR)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Ashers_.28Technics_PEII.29|Ashers<br><span style="font-size: 88%;">(Technics PEII)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Clean_.28Gasonics_2000.29|Plasma Clean<br><span style="font-size: 88%;">(Gasonics 2000)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen Plasma System Recipes#Plasma Clean .28YES EcoClean.29|Plasma Clean <span style="font-size: 88%;">(YES EcoClean)</span>]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#UV_Ozone_Reactor|UV Ozone Reactor]]<br />
| bgcolor="#daf1ff" |[[Oxygen_Plasma_System_Recipes#Plasma_Activation_.28EVG_810.29|Plasma Activation<br><span style="font-size: 88%;">(EVG 810)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#XeF2_Etch_.28Xetch.29|XeF2 Etch<br><span style="font-size: 88%;">(Xetch)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#Vapor_HF_Etch_.28uETCH.29|Vapor HF Etch<br><span style="font-size: 88%;">(uETCH)</span>]]<br />
| bgcolor="#daf1ff" |[[Other_Dry_Etching_Recipes#CAIBE_.28Oxford_Ion_Mill.29|CAIBE<br><span style="font-size: 88%;">(Oxford)</span>]]<br />
|}<br />
<br />
[[Category:Processing]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Wafer_Bonder_(Logitech_WBS7)&diff=159153Wafer Bonder (Logitech WBS7)2021-09-04T19:48:43Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{tool|{{PAGENAME}}<br />
|picture=Logitech_WSBU-6_Wafer_Bonder_-_photo_-_800px.png<br />
|type = Thermal Processing<br />
|super= Brian Lingg<br />
|phone=(805)839-3918x216<br />
|location=Bay 5<br />
|email=freeborn@ece.ucsb.edu<br />
|description = Wafer Bonder WSB7<br />
|manufacturer = Logitech<br />
}} <br />
== About ==<br />
<br />
This tool is most often used for bonding samples to Silicon carrier wafers with CrystalBond wax. <br />
<br />
This mounting method can be used for <br />
* securing small parts for use on 100mm tools (such as the CMP)<br />
* providing a carrier wafer for through-etching of the sample wafer<br />
* for dicing<br />
* for lithography<br />
A user can place the two wafers to be bonded in contact, with the adhesive in between (such as wax, photoresist etc.). A rubber membrane is lowered on top, creating a small vacuum chamber. The tool can then be programmed to heat the wafers and melt the wax/cure the adhesive, while vacuum is pulled in the chamber, which pulls the rubber membrane down onto the top wafer. This flattens the bond and evacuates bubbles from between the wafers, providing a planar bond.<br />
<br />
We also have recipes for spin-coating the crystalbond wax, allowing for a uniform coating of the adhesive wax.<br />
==Detailed Specifications==<br />
* Substrate Size: 4"-6"<br />
* Temperature Range: 20°C-188°C<br />
<br />
==Operation Procedures==<br />
<br />
== Recipes ==<br />
* Recipes > Packaging Recipes > [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Packaging_Recipes#Wafer_Bonder_.28Logitech_WBS7.29 Wafer Bonder (Logitech WBS7)]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Vacuum_Deposition_Recipes&diff=159152Vacuum Deposition Recipes2021-09-04T19:48:26Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>{{Recipe Table Explanation}}<br />
{| class="wikitable" style="border: 1px solid #D0E7FF; background-color:#ffffff; text-align:center;" border="1"<br />
|- bgcolor="#d0e7ff"<br />
! colspan="16" width="1675" height="45" |<div style="font-size: 150%;">Vacuum Deposition Recipes</div><br />
|- bgcolor="#d0e7ff"<br />
|<!-- INTENTIONALLY LEFT BLANK --><br><br />
! colspan="4" bgcolor="#d0e7ff" align="center" |'''[[E-Beam Evaporation Recipes|E-Beam Evaporation]]'''<br />
! colspan="4" |'''[[Sputtering Recipes|Sputtering]]'''<br />
! colspan="2" bgcolor="#d0e7ff" align="center" |'''[[Thermal Evaporation Recipes|Thermal Evaporation]]'''<br />
! colspan="3" bgcolor="#d0e7ff" align="center" |'''[[PECVD Recipes|Plasma Enhanced Chemical<br>Vapor Deposition (PECVD)]]'''<br />
! width="90" bgcolor="#d0e7ff" align="center" |'''[[Atomic Layer Deposition Recipes|Atomic Layer Deposition]]'''<br />
! width="80" bgcolor="#d0e7ff" align="center" |'''[[Molecular Vapor Deposition Recipes|Molecular Vapor Deposition]]'''<br />
|-<br />
! width="20" bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_1_.28Sharon.29|E-Beam 1 (Sharon)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_2_.28Custom.29|E-Beam 2 (Custom)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_3_.28Temescal.29|E-Beam 3 (Temescal)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_4_.28CHA.29|E-Beam 4 (CHA)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_3_.28AJA_ATC_2000-F.29|Sputter 3<br>(AJA ATC 2000-F)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29|Sputter 4<br>(AJA ATC 2200-V)]]<br />
| width="65" bgcolor="#daf1ff" |[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Sputtering_Recipes#Sputter_5_.28AJA_ATC_2200-V.29 Sputter 5 (AJA ATC 2200-V)]<br />
| width="55" bgcolor="#daf1ff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|Ion Beam<br>Deposition (Veeco Nexus)]]<br />
| width="45" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_1|Thermal<br>Evap 1]]<br />
| width="65" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_2_.28Solder.29|Thermal Evap 2 (Solder)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_1_.28PlasmaTherm_790.29|PECVD 1<br>(PlasmaTherm 790)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_2_.28Advanced_Vacuum.29|PECVD 2<br>(Advanced Vacuum)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD_Recipes#ICP-PECVD_.28Unaxis_VLR.29|Unaxis VLR ICP-PECVD]]<br />
| width="65" bgcolor="#daf1ff" |[[Atomic_Layer_Deposition_Recipes|Atomic Layer Deposition (Oxford FlexAL)]]<br />
| width="65" bgcolor="#daf1ff" |[[Molecular Vapor Deposition|Molecular Vapor Deposition (Tool)]]<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ag<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Al<br />
|{{Al/E1}}<br />
|<br><br />
|{{Al/E3}}<br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|A]]<br />
|{{rl|Sputtering Recipes|Al Deposition (Sputter 4)}}<br />
|<br><br />
|<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Al<sub>2</sub>O<sub>3</sub><br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Al2O3 Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Sputtering_Recipes#Al2O3_deposition_.28IBD.29 R]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|Al2O3 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |AlN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|AlN deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Au<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ti-Au Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |B<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |C<br />
| bgcolor="#eeffff" align="center" |{{rl|E-Beam Evaporation Recipes|Materials Table (E-Beam #1)}}<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
| bgcolor="#eeffff" align="center" |<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |CeO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|E-Beam Evaporation Recipes|E-Beam 2 (Custom)|CeO<sub>2</sub> deposition (E-Beam 2)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Co<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Cr<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Sputtering_Recipes#Sputter_3_.28AJA_ATC_2000-F.29 R]<br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Cu<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Fe<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ge<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |GeO<sub>2</sub><br />
|<br />
|{{Al/E2}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Gd<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Hf<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |HfO<sub>2</sub><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|HfO2 deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |In<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ir<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ITO<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|E-Beam Evaporation Recipes|E-Beam 2 (Custom)|ITO deposition (E-Beam 2)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |MgF2<br />
|<br />
|<br />
|<br />
|<br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |MgO<br />
|<br />
|{{Al/E2}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Mo<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Nb<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Nd<br />
|<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ni<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |NiCr<br />
|{{Al/E1}}<br />
|<br />
|<br />
|{{Al/E4}}<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |NiFe<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Pd<br />
|{{Al/E1}}<br />
|<br><br />
|{{Al/E3}}<br />
|{{Al/E4}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Pt<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 4 (AJA ATC 2200-V)}}<br />
| bcolor="EEFFFF" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|Pt deposition (ALD CHAMBER 1)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ru<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|<br><br />
|[[Sputtering Recipes#Ru Deposition .28Sputter 4.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|Ru deposition (ALD CHAMBER 1)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Si<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|R]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=PECVD_Recipes#Amorphous-Si_deposition_.28PECVD_.232.29 R]<br />
| bgcolor="#eeffff" |<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Sputtering Recipes|SiN deposition (Sputter 3)}}<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|[[Sputtering_Recipes#Si3N4_deposition_.28IBD.29|R]]<br />
|<br><br />
|<br><br />
|{{rl|PECVD Recipes|SiN deposition (PECVD #1)}}<br />
|{{rl|PECVD Recipes|SiN deposition (PECVD #2)}}<br />
|{{rl|PECVD Recipes|SiN 250C deposition (Unaxis VLR)}}<br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiN - Low Stress<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|<br />
|{{rl|PECVD Recipes|Low-Stress SiN - LS-SiN (PECVD#1)}}<br />
|{{rl|PECVD Recipes|Low-Stress SiN deposition (PECVD #2)}}<br />
|{{rl|PECVD Recipes|SiN LS 250C Deposition (Unaxis VLR)}}<br />
|<br />
|<br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>2</sub><br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|SiO2 deposition (Sputter 3)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#SiO2_deposition_.28IBD.29|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 deposition (PECVD #1)}}<br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 deposition (PECVD #2)}}<br />
| bgcolor="#eeffff" |{{rl|PECVD Recipes|SiO2 LDR 250C Deposition (Unaxis VLR)}}<br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|SiO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SiO<sub>x</sub>N<sub>y</sub><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Sputtering_Recipes#SiOxNy_deposition_.28IBD.29 R]<br />
|<br><br />
|<br><br />
|[[PECVD_Recipes#PECVD_1_.28PlasmaTherm_790.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Sn<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Thermal Evaporation Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |SrF<sub>2</sub><br />
|<br><br />
|{{Al/E2}}<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ta<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ta deposition (Sputter 3)}}<br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ta<sub>2</sub>O<sub>5</sub><br />
|<br><br />
|<br><br />
|<br><br />
|{{Al/E2}}<br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering_Recipes#Ta2O5_deposition_.28IBD.29|R]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Ti<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E3}}<br />
| bgcolor="#eeffff" |{{Al/E4}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 3 (AJA ATC 2000-F)}}<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Ti-Au Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br>[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiN<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29 R]<br />
|<br><br />
|[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|{{rl|Atomic Layer Deposition Recipes|TiN deposition (ALD CHAMBER 3)}}<br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiW<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|W-TiW Deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |TiO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{Al/E2}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|Sputter 4 (AJA ATC 2200-V)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering_Recipes#TiO2_deposition_.28IBD.29|R]]<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|TiO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |V<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |W<br />
| bgcolor="#eeffff" |{{Al/E1}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |[[Sputtering Recipes|A]]<br />
| bgcolor="#eeffff" |{{rl|Sputtering Recipes|W deposition (Sputter 4)}}<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Zn<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|[[Thermal Evaporation Recipes|A]]<br />
|[[Thermal Evaporation Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ZnO<br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|ZnO:Al deposition (ALD CHAMBER 1)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |Zr<br />
|{{Al/E1}}<br />
|<br><br />
|<br><br />
|{{Al/E4}}<br />
|[[Sputtering Recipes|A]]<br />
|[[Sputtering Recipes|A]]<br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|<br><br />
|-<br />
! bgcolor="#d0e7ff" align="center" |ZrO<sub>2</sub><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |<br><br />
| bgcolor="#eeffff" |{{rl|Atomic Layer Deposition Recipes|ZrO2 deposition (ALD CHAMBER 3)}}<br />
| bgcolor="#eeffff" |<br><br />
|-<br />
! width="20" bgcolor="#d0e7ff" align="center" |'''Material'''<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_1_.28Sharon.29|E-Beam 1 (Sharon)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_2_.28Custom.29|E-Beam 2 (Custom)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_3_.28Temescal.29|E-Beam 3 (Temescal)]]<br />
| width="65" bgcolor="#daf1ff" |[[E-Beam Evaporation Recipes#E-Beam_4_.28CHA.29|E-Beam 4 (CHA)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering Recipes#Sputter_3_.28ATC_2000-F.29|Sputter 3<br>(ATC 2000-F)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering_Recipes#Sputter_4_.28AJA_ATC_2200-V.29|Sputter 4<br>(ATC 2200-V)]]<br />
| width="65" bgcolor="#daf1ff" |[[Sputtering Recipes|Sputter 5 (ATC 2200-V)]]<br />
| width="55" bgcolor="#daf1ff" |[[Sputtering_Recipes#Ion_Beam_Deposition_.28Veeco_NEXUS.29|Ion Beam<br>Deposition (Veeco Nexus)]]<br />
| width="45" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_1|Thermal<br>Evap 1]]<br />
| width="65" bgcolor="#daf1ff" |[[Thermal Evaporation Recipes#Thermal_Evap_2_.28Solder.29|Thermal Evap 2 (Solder)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_1_.28PlasmaTherm_790.29|PECVD 1<br>(PlasmaTherm 790)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD Recipes#PECVD_2_.28Advanced_Vacuum.29|PECVD 2<br>(Advanced Vacuum)]]<br />
| width="65" bgcolor="#daf1ff" |[[PECVD_Recipes#ICP-PECVD_.28Unaxis_VLR.29|Unaxis VLR ICP-PECVD]]<br />
| width="65" bgcolor="#daf1ff" |[[Atomic_Layer_Deposition_Recipes|Atomic Layer Deposition (Oxford FlexAl)]]<br />
| width="65" bgcolor="#daf1ff" |[[Molecular Vapor Deposition|Molecular Vapor Deposition (Tool)]]<br />
|}<br />
<br />
[[Category:Processing]]</div>Jcrodehttps://wiki.nanofab.ucsb.edu/w/index.php?title=Frequently_Asked_Questions&diff=159151Frequently Asked Questions2021-09-04T19:48:23Z<p>Jcrode: Text replacement - "/wiki/index.php/" to "/wiki/index.php?title="</p>
<hr />
<div>'''Email [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]''' to contact all the NanoFab staff – one of us will help you as soon as we can!<br />
<br />
__TOC__ <!-- force table of contents to show --><br />
<br />
==General NanoFab Questions==<br />
<br />
===Who do I contact for an equipment problem or general NanoFab problem?===<br />
For ''general'' Nanofab issues, please contact the [[Brian Thibeault|Lab Director (click for contact info)]] by email or phone.<br />
<br />
It's '''much''' better to quickly let us know of a mistake or problem proactively, and let us help you fix it!<br />
<br />
====Emergencies====<br />
For immediate or health-related emergencies, call 9-911 from any of the phones in the lab, or 911 from your cell phone. Let them know that you are in '''"Engineering Sciences Building #225"''' at '''"Room #1102 - Gowning Room"'''.<br />
<br />
====Specific Equipment Problem====<br />
Always notify maintainers '''''immediately''''' of any unusual problem that may affect other users, and also <u>record it in the logbook</u>! If a tool is down, please place a note at the tool and notify users that have upcoming reservations using the "'''Report Tool Issue'''" button on signupmonkey if possible.<br />
<br />
#If you are having trouble with a piece of equipment, please contact the supervisor of that tool '''first'''. The supervisor's contact information can be found posted on the walls above/behind the equipment, on the [[Tool List|tool page]] and on the tool's SignupMonkey page. <br />
##If you need immediate assistance ''during business hours'', you may call/text the tool supervisor's cell phone number, otherwise use their office phone or email.<br />
#If the engineer is not available, please go to the tool's signup page on [https://signupmonkey.ece.ucsb.edu SignupMonkey] and click the "'''<u>Report a Tool Issue</u>'''" button. This emails all Nanofab Staff ''and'' all users with future reservations. This is the preferred method for getting help if the supervisor is not immediately available.<br />
#If the tool is not on SignupMonkey, '''email the NanoFab Staff at [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]'''. This emails all staff, so anyone available can help you.<br />
<br />
====Contact all NanoFab Staff by Email====<br />
The entire NanoFab staff can be emailed at [mailto:NanoFab@ece.ucsb.edu NanoFab@ece.ucsb.edu]. This allows any staff member who is able to help to respond in a timely manner. Any problem for which you are unsure who to contact, send it to this email address. <br />
<br />
====NanoFab On-Call Staff====<br />
For after-hours and weekend emergency situations, please call '''(805) 451-0509''' to contact the staff member that is on-call. This is for major issues/emergencies that will affect many users, such as alarms, leaks, wet bench, fume hood issues etc. Please do not call this number for problems with a single process tool that only affects a few users, instead see above.<br />
<br />
===Publications acknowledging the Nanofab===<br />
If you publish on devices or work done using the NanoFab, please add an acknowledgement or line of text stating the following (or similar):<blockquote>''A portion of this work was performed in the UCSB Nanofabrication Facility, an open access laboratory.''</blockquote>This is important for the continued success of the Nanofabrication Facility. This applies to local UCSB researchers as well.<br />
<br />
====Authorship on Publications====<br />
If a UCSB staff member contributed significant research & development effort (including thinking through fabrication methods), or if you include their data/images in your paper, especially if novel work was developed, you should seriously consider '''adding them as an author'''. (While also retaining the above Acknowledgement.) Many journals require '''all''' contributing authors to be cited. Analysis code authored by staff [https://academia.stackexchange.com/questions/14010/how-do-you-cite-a-github-repository can be cited] if it is on a public repository/online location. <br />
<br />
Even for repeat processes performed by our staff, it may be appropriate to include the UCSB Staff member that performed the fabrication. This is research and development, not a guaranteed of-the-shelf product, and every run requires staff experience to produce good results for your research. <br />
<br />
You can specify that the staff member only performed fabrication and process development. <br />
<br />
Process knowledge gained from conversations, advice and brainstorming sessions may constitute significant "intellectual contribution", or be worthy of an acknowledgement. <br />
<br />
Feel free to [[Brian Thibeault|contact us]] if you would like to discuss any of these points, and thank you for understanding.<br />
<br />
===Bring a new chemical/material into the lab===<br />
If you need to bring a new chemical/material into the lab, you '''must ask before doing so'''! <br />
<br />
Technically, this includes '''unusual substrate materials'''. We need to make sure we know how to handle, store and dispose of the material, and confirm compatibility/safety.<br />
<br />
Here's what you do:<br />
<br />
#Check to see if we stock something similar in our [[Chemical List]]. If it's listed there then we have it and you just have to locate it for use. [[Luis Zuzunaga|Luis]] can help you with this.<br />
#Contact [[Brian Thibeault]] and let him know that you will be using this chemical (even if it was previously in the lab), to make sure we are ready for it.<br />
##Check to see if we have an MSDS already - if so, we have previously allowed this in the lab: [[Chemical List]]<br />
#Make sure you have researched/understand the proper handling and use of this chemical - '''that is YOUR responsibility!'''<br />
#*See the Lab Rules section about this: [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lab_Rules#Chemicals_in_the_Nanofab Lab Rules: Chemicals in the Nanofab]<br />
<br />
===Can I take supplies from the Nanofab?===<br />
Generally '''NO'''! The napkins, tote boxes, bottles, flashlights, tools and all other supplies are '''nanofab property!''' You are NOT allowed to take any of our supplies to your lab without asking us first. Please do ask staff if there is some supply you'd like to borrow or take, we are usually happy to help.<br />
<br />
====Where do I get these supplies for my lab?====<br />
For UCSB users, the [https://www.physics.ucsb.edu/resources/materialsmanagement/storeroom Physics] and [https://www.chem.ucsb.edu/facilities-services#storerooms Chemistry] store rooms sell many common supplies we use, including tweezers, beakers/dishes etc. Otherwise you can search any standard supplier for what you need (Thermo-Fischer Scientific, Mcmaster-Carr, Entegris). Just ask NanoFab staff if you need something quickly and we can probably help you, or pass the charge on to your group.<br />
<br />
==Computer/Tech Questions==<br />
<br />
===How do I get my files from the NanoFab computers?===<br />
USB ports are disabled on most NanoFab computers to prevent the spread of viruses.<br />
<br />
Instead, you can download your files from our '''"Nanofiles" SFTP''' server. As long as you place your files into the proper directory on the tool computer, your files will be synced to the NanoFiles STFP server every hour (or immediately, by running the "''Sync to NanoFiles''" script). You must save into a folder that is named according to your research group/company's name. <br />
<br />
Note that the first time you create a folder for your group on a tool, it will take 24hrs for that tool to show up in your SFTP sessions, and thereafter it will sync every hour. <br />
<br />
For further information on how to access these files, where to place your files and login credentials, please<br />
<br />
*Log into [https://signupmonkey.ece.ucsb.edu SignupMonkey]<br />
*Click the '''Files > [https://signupmonkey.ece.ucsb.edu/cgi-bin/users/get-nanofiles.cgi <u>Request Login Credentials</u>]''' link.<br />
*The email you receive will give you instructions on accessing/syncing to the NanoFiles server, including <u>acceptable folder names</u> you can use on the tool computers.<br />
*You can re-send this email any time by clicking the link on SignupMonkey.<br />
<br />
====I can't connect to the Nanofiles FTP server!====<br />
'''Symptom''': Your SFTP client is suddenly unable to connect to the nanofiles server, with an error like "''Network Error: Connection Refused''". This may also affect other people on the same network as you (who share the same external IP address.)<br />
<br />
'''Cause:'''<br />
<br />
There are two ways your IP address can be automatically blocked:<br />
<br />
#You use the wrong password a few times, or<br />
#Your FTP program tries to make too many connections, so fast that it looks like a [https://en.wikipedia.org/wiki/Denial-of-service_attack DDOS attack] and you get blocked. This may happen when you try to download many files at once.<br />
<br />
'''Solutions''':<br />
<br />
Email [mailto:nanofabadmin@ece.ucsb.edu nanofabadmin] and request that you be unblocked. To prevent this going forward, here are some tips:<br />
<br />
#Many FTP clients have the option to use "''multiple connections''" or "''simultaneous downloads".'' Set it to use '''maximum 3 connections''' (which means that you can Browse the folders while downloading two files). Turn off any options that look like they might open more than one connection to the server.<br />
##See these links for limiting the number of connections for [https://support.hostgator.com/articles/how-to-limit-the-number-of-simultaneous-connections-in-filezilla Filezilla], [https://winscp.net/eng/docs/transfer_queue WinSCP], [https://blog.cyberduck.io/2015/04/17/multiple-connections-for-file-transfers/ CyberDuck].<br />
##'''''WinSCP''''', in particular (and maybe Filezilla), '''DEFAULTS''' to use an <u>unlimited number of connections</u> - so the first time you try to download many files at the same time <u>you will likely get blocked</u>. See the above links to prevent that.<br />
##'''CyberDuck''' has a setting for "multiple connections for each download" - make sure to disable this.<br />
#Save your password on your computer with a password manager.<br />
##Your FTP client may also be set to try many "''reconnection attempts''" very fast. If the saved password is wrong, it will quickly reach the limit of authentication failures, and block your IP. Set your FTP client to never retry on failure, just in case it is due to a bad password.<br />
<br />
===Wifi Access in the Nanofab===<br />
The Wifi networks "'''''UCSB Secure'''''" (preferred) and "'''''UCSB Wireless'''''" (will ask for login every time) and "'''''eduroam'''''" (other educational institutions) have full coverage in the NanoFab. All UC students/employees can use their NetID's to access these networks. External institutions can request a login from [[Brian Thibeault]].<br />
<br />
====Cell Phone Calls====<br />
Verizon Wireless has three cellular repeaters installed in the cleanroom, available via UCSB agreements with specific vendors.<br />
<br />
Cellular coverage is still not very good in some parts of the lab. However, Wifi Calling (aka. [https://en.wikipedia.org/wiki/Voice_over_IP VoIP]) works very well if your device is connected to one of the aforementioned Wifi (not cellular) networks. You can set your smartphones to make voice calls over Wifi, as long as your cellular carrier supports this. Then when you initiate the call from a region you intend to work from, your device should automatically choose Wifi calling if it has the best coverage. Calling through your computer (eg. online teleconferencing) will also utilize the Wifi network rather than cellular.<br />
<br />
"Wifi calling" is often not enabled by default, enable it as follows:<br />
<br />
*[https://support.apple.com/en-us/HT203032 Apple iPhone/iOS Wifi Calling setup instructions]<br />
*[https://support.google.com/voice/answer/7649189?co=GENIE.Platform%3DAndroid&hl=en Google Android OS Wifi Calling setup instructions]<br />
<br />
==== Forcing Calls to use Wifi (not Cellular) ====<br />
* Turn on "Airplane Mode" to disable the main cellular antennas.<br />
* While still in Airplane Mode, enable Wifi, and Bluetooth if using BT headphones.<br />
** Connect to the UCSB Wifi Network, and BT devices if desired.<br />
* Place your call as usual - if WiFi Calling is enabled, the call will use Wifi.<br />
** This applies to using internet calls as well, like WhatsApp, Skype, Facetime, Zoom etc. - your phone ''may'' try to use the cellular connection for internet unless you disable it.<br />
<br />
===Can I add equipment reservations to my phone/computer/online calendar?===<br />
<br />
*Yes, log into SignupMonkey, and on the "Future Reservations" column on the right, click on the "iCalendar" link. This links to a ".ics" file that lists all your upcoming equipment reservations. Open this file to add the reservations to your calendar. [[File:SignupMonkey - Add to Calendar - Screen Shot 2017-11-30 at 3.55.11 PM.png|alt=Image showing the iCalendar ".ics" file link on SignupMonkey|none|frame|The "iCalendar" (.ics) file link on SignupMonkey]]Unfortunately deleted reservations will Not be removed from your calendar, because of the difficulty with CalDAV programming. I recommend having a separate calendar that you save your reservations onto.<br />
<br />
====Programatically Accessing Reservation Data====<br />
<br />
*To get a URL for accessing reservation data using a program, you can simply copy the URL to the *.ics iCal file mentioned above. This URL contains encrypted login info, so returns the *.ics file without requiring the GUI login form. You can get such a URL of your own future reservations as mentioned above. You can also get a custom search (for example all the future/past reservations from your research group) from the "''Search''" section of Signup Monkey. The results page of any search includes a link at the top for the corresponding iCal file - copy that URL to use in your program/scripts.<br />
<br />
==Processing/Fabrication Questions==<br />
<br />
===I need help using a tool, where do I get help?===<br />
There are so many little details to remember in the cleanroom, we all sometimes forget something or need a reminder. When in doubt, ask for help!<br />
<br />
*First, check to see if printed instructions are found at the tool itself.<br />
*Second, check the Wiki page for that tool - many of our instruction sets and user manuals are posted on the [[Tool List|Tool page]] in the Equipment section (see the left side bar).<br />
*Third, during business hours (eg. 7am-5pm) you can contact the tool maintainer. Contact info is posted on the glass walls above each tool.<br />
*Fourth (maybe first) option, look for an experienced user in the lab who might be able to help. Our users are typically very collaborative, knowledgeable and helpful! A senior member of your group is always a good option.<br />
<br />
====User Manuals====<br />
You can find user manuals for many of our tools, and software. Often times these are either <br />
<br />
*Printed at the tool itself<br />
*In a PDF on the desktop of the tool's computer<br />
*On the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Frequently_Asked_Questions#How_do_I_get_my_files_from_the_NanoFab_computers.3F Nanofiles FTP] server in the "Manuals" folder. For example, complex analysis software such as the [[Ellipsometer (Woollam)|Ellipsometer]] and [[Atomic Force Microscope (Bruker ICON)|AFM]] manuals are available on Nanofiles FTP.<br />
<br />
===Where do I find a recipe for a process (litho/etch/dep etc.)?===<br />
The "''Recipes and Data''" section on the left sidebar lists the different categories of recipes. In those pages, you can see whether a recipe exists for your process, and on which tool. We often include some basic characterization data on the recipes, but you should note that these are approximate only. '''''If you have tight tolerances, you need to characterize the recipe yourself''''' (ie. figure out deposition/etch rate, selectivity, verticality etc.).<br />
<br />
For some recipes (etches in particular), we often post the parameter variations/recipe characterizations to show you how different recipe variables affect the etch. If you find that a provided recipe isn't exactly what you need, you can use this information to modify a copy of the recipe to suit your own needs. Please refer to the tool owner for creating your own recipes on each tool, especially for those that have a limited number of saved recipe slots.<br />
<br />
Many datasheets provide very usedul process info. For example, the datasheets for lithography photoresists/developers and solvents usually show spin curves (thickness vs. spin speed), exposure dose, bake times, resist profile vs. reflow temp etc.<br />
<br />
You can find photolithography datasheets on the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Lithography_Recipes#Chemical_Datasheets Chemical Datasheets] section of the Lithography Recipes page.<br />
<br />
===A recipe is indicated as "A", Available, where do I find info on this recipe?===<br />
If a recipe is marked as "A", ''Available'' on one of the recipe tables, this usually means that a graduate student has done this process at some point, but the NanoFab hasn't necessarily verified the process nor has the exact recipe and characterization. Contact anyone in the {{HLink|Staff List|Process Group}} to get in contact with the research group that developed the recipe.<br />
<br />
==Wiki Questions==<br />
NanoFab users are highly encouraged to contribute their own work, characterizations and corrections to our Wiki! Read on to learn how to do this.<br />
<br />
===How do I get a login to the wiki?===<br />
If you have an active [https://signupmonkey.ece.ucsb.edu/ SignupMonkey] login, then you can create a Wiki login yourself.<br />
* Just click the "[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Special:CreateAccount Create an Account]" link in the corner of the page, <br />
* Fill in your info, making sure you '''''use the same email address you use for SignupMonkey.'''''<br />
* Then click the link in the confirmation email you receive. <br />
* You will then be able to log in and edit many of the wiki pages. We encourage you to make updates or add your processes!<br />
Contact the [[Wiki Admin]] if you need help.<br />
<br />
===How do I edit a page?===<br />
First, you need to login by clicking the “Log In” link in the top-right corner of the page. <br />
<br />
Then you simply click ''[Edit]'' on a page you wish to change. The ''[Edit]'' option will only be available for pages that you are authorized to change, which does not include all pages.<br />
<br />
''[Edit]'' brings up the ''Visual Editor'', which allows you to type directly into a page without editing any code, like using a regular word processing program. The Visual Editor is the recommended method. Alternatively, ''[Edit Source]'' will show you the wiki markup code for the page, which is also an acceptable way to edit a page but much less intuitive.<br />
<br />
Please see the [[Editing_Tutorials|Editing Tutorials]] for more information on editing a page.<br />
<br />
Note that NanoFab users are only allowed to edit certain pages, and have some restrictions on their accounts for security purposes. However we do encourage all updates and changes, so please contact the [[Wiki Admin]] if you need help, such as making a new page, uploading images etc. The giant materials/recipe tables are only editable by Admins, so let us know if you have a new recipe/material to add!<br />
<br />
==="''Something went wrong''" while saving my edits! How do I keep my edits?===<br />
Sometimes the server will log you out after a long time, even while you are still editing a page! <br />
<br />
Then when you try to save the page you might get an error like "'''''Something Went Wrong: We could not save your edits because the session is no longer valid...'''''".<br />
<br />
If this happens, DO NOT CLOSE your edited page or you may lose your edits! Instead do the following:<br />
<br />
#Hit "Resume editing" on the edited page, to close the "Save Page" dialogue.<br />
#Open a NEW web browser window,<br />
#Go to the [https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Special:UserLogin NanoFab wiki] in that new window,<br />
#[https://wiki.nanotech.ucsb.edu/wiki/index.php?title=Special:UserLogin Login to the wiki] on that window (you can click the link to go to the Login page directly)<br />
#On your edited page, Click "'''Save Page'''" again, and after dismissing the error click "'''Try Again'''". You may need to press '''Try Again''' a few times until it figures out that you have logged in, and it will then save your edits.<br />
<br />
===What do I do if I find an error on the wiki?===<br />
If you locate a mistake, error or typo, log in and make the change yourself. Staff will see your edits and approve them.<br />
<br />
If you don't have edit permission, let [mailto:demis@ucsb.edu Demis] know about the change you wish to make.<br />
<br />
===Why aren't my edits showing up?===<br />
While lab users are allowed to edit the wiki, all those edits will be approved by a staff member before going live.</div>Jcrode